Home
last modified time | relevance | path

Searched defs:controlObjects (Results 1 – 17 of 17) sorted by relevance

/dports/java/openjdk11/jdk11u-jdk-11.0.13-8-1/src/java.desktop/solaris/native/libjsound/
H A DPLATFORM_API_SolarisOS_Ports.c301 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
358 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
374 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk13/jdk13u-jdk-13.0.10-1-1/src/java.desktop/solaris/native/libjsound/
H A DPLATFORM_API_SolarisOS_Ports.c301 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
358 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
374 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk11-jre/jdk11u-jdk-11.0.13-8-1/src/java.desktop/solaris/native/libjsound/
H A DPLATFORM_API_SolarisOS_Ports.c301 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
358 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
374 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk8/jdk8u-jdk8u312-b07.1/jdk/src/solaris/native/com/sun/media/sound/
H A DPLATFORM_API_SolarisOS_Ports.c301 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
358 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
374 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk12/openjdk-jdk12u-jdk-12.0.2-10-4/src/java.desktop/solaris/native/libjsound/
H A DPLATFORM_API_SolarisOS_Ports.c301 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
358 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
374 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk14/jdk14u-jdk-14.0.2-12-1/src/java.desktop/solaris/native/libjsound/
H A DPLATFORM_API_SolarisOS_Ports.c301 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
358 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
374 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk8-jre/jdk8u-jdk8u312-b07.1/jdk/src/solaris/native/com/sun/media/sound/
H A DPLATFORM_API_SolarisOS_Ports.c301 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
358 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
374 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk11/jdk11u-jdk-11.0.13-8-1/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk13/jdk13u-jdk-13.0.10-1-1/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk11-jre/jdk11u-jdk-11.0.13-8-1/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk8/jdk8u-jdk8u312-b07.1/jdk/src/windows/native/com/sun/media/sound/
H A DPLATFORM_API_WinOS_Ports.c617 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
720 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
798 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
852 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
868 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk15/jdk15u-jdk-15.0.6-1-1/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk16/jdk16u-jdk-16.0.2-7-1/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk12/openjdk-jdk12u-jdk-12.0.2-10-4/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk14/jdk14u-jdk-14.0.2-12-1/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk17/jdk17u-jdk-17.0.1-12-1/src/java.desktop/windows/native/libjsound/
H A DPLATFORM_API_WinOS_Ports.c619 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
722 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
800 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
854 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
870 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()
/dports/java/openjdk8-jre/jdk8u-jdk8u312-b07.1/jdk/src/windows/native/com/sun/media/sound/
H A DPLATFORM_API_WinOS_Ports.c617 …PortControlCreator* creator, MIXERLINE* dstLine, DWORD srcLineID, void** controlObjects, int* cont… in createMuxControl()
720 INT32 type, void** controlObjects, int* controlCount) { in createPortControl()
798 …ols(PortInfo* info, PortControlCreator* creator, MIXERLINE* line, void** controlObjects, int* cont… in createLineControls()
852 …dControl(PortInfo* info, PortControlCreator* creator, char* name, void** controlObjects, int* cont… in addCompoundControl()
868 void addAllControls(PortInfo* info, PortControlCreator* creator, void** controlObjects, int* contro… in addAllControls()