Home
last modified time | relevance | path

Searched defs:dataw (Results 1 – 25 of 117) sorted by relevance

12345

/dports/databases/gmdb2/gmdb2-0.9.1/src/
H A Dtable_data.c33 gmdb_table_data_close(GtkWidget *w, GdkEvent *event, GMdbDataWindow *dataw) in gmdb_table_data_close()
53 GMdbDataWindow *dataw = NULL; in gmdb_table_data_new() local
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/gcu_ic/
H A Ddatapath_gate.vhdl28 dataw : integer := 32); generic
/dports/cad/iverilog/verilog-11.0/tgt-blif/
H A Dlpm_shift.cc42 unsigned dataw = ivl_lpm_width(net); in print_lpm_shift() local
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/vhdl/
H A Drf_1wr_1rd_always_1_guarded_0.vhd48 dataw : integer := 32; generic
/dports/devel/godot2-tools/godot-2.1.6-stable/scene/io/
H A Dresource_format_wav.cpp167 DVector<uint8_t>::Write dataw = data.write(); in load() local
/dports/devel/godot2/godot-2.1.6-stable/scene/io/
H A Dresource_format_wav.cpp167 DVector<uint8_t>::Write dataw = data.write(); in load() local
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/power/supply/
H A Dltc2941-battery-gauge.c217 u8 dataw[2]; in ltc294x_set_charge_now() local
258 u8 dataw[2]; in ltc294x_set_charge_thr() local
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/power/supply/
H A Dltc2941-battery-gauge.c217 u8 dataw[2]; in ltc294x_set_charge_now() local
258 u8 dataw[2]; in ltc294x_set_charge_thr() local
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/power/supply/
H A Dltc2941-battery-gauge.c217 u8 dataw[2]; in ltc294x_set_charge_now() local
258 u8 dataw[2]; in ltc294x_set_charge_thr() local
/dports/emulators/qemu-utils/qemu-4.2.1/tests/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu5/qemu-5.2.0/tests/qtest/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu-guest-agent/qemu-5.0.1/tests/qtest/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu42/qemu-4.2.1/tests/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu-powernv/qemu-powernv-3.0.50/tests/
H A Dahci-test.c396 uint16_t dataw; in ahci_test_satacap() local
431 uint16_t dataw; in ahci_test_msicap() local
462 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu-devel/qemu-de8ed1055c2ce18c95f597eb10df360dcb534f99/tests/qtest/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu/qemu-6.2.0/tests/qtest/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu-cheri/qemu-0a323821042c36e21ea80e58b9545dfc3b0cb8ef/tests/qtest/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/emulators/qemu60/qemu-6.0.0/tests/qtest/
H A Dahci-test.c394 uint16_t dataw; in ahci_test_satacap() local
429 uint16_t dataw; in ahci_test_msicap() local
460 uint16_t dataw; in ahci_test_pmcap() local
/dports/science/gnudatalanguage/gdl-1.0.1/src/
H A Dsmooth_inc.cpp242 BaseGDL* dataw = this->Dup(); in Smooth() local
295 BaseGDL* dataw = this->Dup(); in Smooth() local
/dports/devel/godot/godot-3.2.3-stable/scene/resources/
H A Dsky.cpp149 PoolVector<uint8_t>::Write dataw = imgdata.write(); in _generate_sky() local
/dports/devel/godot-tools/godot-3.2.3-stable/scene/resources/
H A Dsky.cpp149 PoolVector<uint8_t>::Write dataw = imgdata.write(); in _generate_sky() local
/dports/emulators/mess/mame-mame0226/src/devices/cpu/i386/
H A Dathlon.cpp306 u8 *dataw; in program_write_cache() local
/dports/emulators/mame/mame-mame0226/src/devices/cpu/i386/
H A Dathlon.cpp306 u8 *dataw; in program_write_cache() local
/dports/graphics/xpx/xpx/
H A Dmagtoppm.c75 static int dataw, datah; variable
/dports/emulators/qemu42/qemu-4.2.1/hw/char/
H A Dparallel.c78 uint8_t dataw; member

12345