Home
last modified time | relevance | path

Searched defs:dest_s (Results 1 – 25 of 33) sorted by relevance

12

/dports/devel/boost-python-libs/boost_1_72_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/devel/boost-docs/boost_1_72_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/databases/percona57-pam-for-mysql/boost_1_59_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/databases/percona57-server/boost_1_59_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/databases/xtrabackup/boost_1_59_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/databases/percona57-client/boost_1_59_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/devel/boost-libs/boost_1_72_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/databases/mysqlwsrep57-server/boost_1_59_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/devel/hyperscan/boost_1_75_0/libs/locale/test/
H A Dtest_convert.cpp88 #define TEST_V(how,source_s,dest_s) \ in main() argument
102 … #define TEST_V(how,source_s,dest_s) TEST_A(wchar_t,how,to<wchar_t>(source_s),to<wchar_t>(dest_s)) in main() argument
107 …#define TEST_V(how,source_s,dest_s) TEST_A(char16_t,how,to<char16_t>(source_s),to<char16_t>(dest_s… in main() argument
113 …#define TEST_V(how,source_s,dest_s) TEST_A(char32_t,how,to<char32_t>(source_s),to<char32_t>(dest_s… in main() argument
/dports/math/singular/Singular-Release-4-2-1/libpolys/polys/
H A DprCopyTemplate.cc17 spolyrec dest_s; in PR_NAME() local
H A DprCopy.cc50 spolyrec dest_s; in prMapR() local
/dports/security/unicornscan/unicornscan-0.4.2/src/unilib/
H A Darch.c72 char dest_s[32], gw_s[32], mask_s[32], min_d[32], max_d[32]; in get_default_route_interface() local
/dports/sysutils/py-ansible-base/ansible-base-2.10.15/lib/ansible/modules/
H A Dservice_facts.py203 … systemctl_path = self.module.get_bin_path("systemctl", opt_dirs=["/usr/bin", "/usr/local/bin"])
/dports/databases/freetds-devel/freetds-dev.1.3.7/src/odbc/
H A Dconnectparams.c283 DSTR *dest_s, value = DSTR_INITIALIZER; in odbc_parse_connect_string() local
/dports/databases/freetds/freetds-1.3.4/src/odbc/
H A Dconnectparams.c283 DSTR *dest_s, value = DSTR_INITIALIZER; in odbc_parse_connect_string() local
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/src/dbapi/driver/ftds100/freetds/odbc/
H A Dconnectparams.c279 DSTR *dest_s, value = DSTR_INITIALIZER; in odbc_parse_connect_string() local
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/src/dbapi/driver/ftds95/freetds/odbc/
H A Dconnectparams.c275 DSTR *dest_s, value; in odbc_parse_connect_string() local
/dports/graphics/digikam/digikam-7.4.0/core/libs/dimg/filters/lc/
H A Dlocalcontrastfilter.cpp291 float dest_h, dest_s, dest_v; in saturationMultithreaded() local
/dports/graphics/gimp-app/gimp-2.10.30/app/operations/layer-modes/
H A Dgimpoperationlayermode-blend.c448 gfloat dest_min, dest_max, dest_delta, dest_s; in gimp_operation_layer_mode_blend_hsv_hue() local
/dports/devel/simgear/simgear-2020.3.11/simgear/scene/material/
H A DTextureBuilder.cxx578 osg::Image* destImage, int dest_s, int dest_t) in copySubImage()
/dports/emulators/mess/mame-mame0226/src/devices/cpu/ns32000/
H A Dns32000.cpp489 bool const dest_s = subtraction ? !BIT(dest, sign_bit) : BIT(dest, sign_bit); in flags() local
/dports/emulators/mame/mame-mame0226/src/devices/cpu/ns32000/
H A Dns32000.cpp489 bool const dest_s = subtraction ? !BIT(dest, sign_bit) : BIT(dest, sign_bit); in flags() local
/dports/graphics/osg/OpenSceneGraph-OpenSceneGraph-3.6.5/src/osg/
H A DImageUtils.cpp204 osg::Image* destImage, int dest_s, int dest_t, int dest_r, bool doRescale) in copyImage()
/dports/security/razorback-api/api-0.5.0/libssh/src/
H A Dsftp.c2485 ssh_string dest_s; in sftp_symlink() local
/dports/lang/php73/php-7.3.33/ext/standard/
H A Dfile.c1708 php_stream_statbuf src_s, dest_s; in php_copy_file_ctx() local

12