Home
last modified time | relevance | path

Searched defs:ff_hevc_diag_scan4x4_x (Results 1 – 8 of 8) sorted by relevance

/dports/multimedia/handbrake/ffmpeg-4.4/libavcodec/
H A Dhevc_data.c25 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable
/dports/multimedia/ffmpeg/ffmpeg-4.4.1/libavcodec/
H A Dhevc_data.c25 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable
/dports/multimedia/gstreamer1-libav/gst-libav-1.16.2/gst-libs/ext/libav/libavcodec/
H A Dhevc_data.c25 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/ffmpeg/libavcodec/
H A Dhevc_data.c25 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/ffmpeg/libavcodec/
H A Dhevc_data.c25 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable
/dports/emulators/vice/vice-3.5/src/lib/libffmpeg/libavcodec/
H A Dhevc_cabac.c430 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable
/dports/graphics/libbpg/libbpg-0.9.8/libavcodec/
H A Dhevc_cabac.c430 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable
/dports/emulators/libretro-vice/vice-libretro-5725415/vice/src/lib/libffmpeg/libavcodec/
H A Dhevc_cabac.c430 const uint8_t ff_hevc_diag_scan4x4_x[16] = { variable