Home
last modified time | relevance | path

Searched defs:icol (Results 376 – 400 of 1179) sorted by relevance

1...<<11121314151617181920>>...48

/dports/science/sparta/sparta-20Oct2021/src/
H A Dcompute_react_isurf_grid.cpp66 int icol = 0; in ComputeReactISurfGrid() local
/dports/audio/praat/praat-6.2.03/sys/
H A DStrings.cpp61 conststring32 structStrings :: v_getVectorStr (integer icol) { in v_getVectorStr()
/dports/audio/praat/praat-6.2.03/dwtools/
H A DSPINET.cpp133 …const integer icol = Sampled_xToLowIndex (me, time); // ppgb: don't use Sampled2_xToColumn for int… in SPINET_drawSpectrum() local
H A DMixingMatrix.cpp52 const integer icol = (inum - 1) % numberOfInputChannels + 1; in MixingMatrix_createSimple() local
/dports/astro/py-astropy/astropy-5.0/cextern/wcslib/C/flexed/
H A Dwcsbth.c25545 int ncol, ialt, icol, imgherit; member
25929 for (int icol = 0; icol < 1000; icol++) { variable
25932 for (int icol = 1; icol <= abs(nsel); icol++) { variable
30937 for (int icol = (1 + alts->ncol); icol < (1 + ncol); icol++) { in wcsbth_pass1() local
31033 for (int icol = 1; icol <= ncol; icol++) { in wcsbth_init1() local
31048 for (int icol = 1; icol <= ncol; icol++) { in wcsbth_init1() local
31102 for (int icol = 0; icol <= ncol; icol++) { in wcsbth_init1() local
31169 int icol, ix, mask = (1 << ialt); in wcsbth_init1() local
/dports/astro/wcslib/wcslib-7.7/C/flexed/
H A Dwcsbth.c25545 int ncol, ialt, icol, imgherit; member
25929 for (int icol = 0; icol < 1000; icol++) { variable
25932 for (int icol = 1; icol <= abs(nsel); icol++) { variable
30937 for (int icol = (1 + alts->ncol); icol < (1 + ncol); icol++) { in wcsbth_pass1() local
31033 for (int icol = 1; icol <= ncol; icol++) { in wcsbth_init1() local
31048 for (int icol = 1; icol <= ncol; icol++) { in wcsbth_init1() local
31102 for (int icol = 0; icol <= ncol; icol++) { in wcsbth_init1() local
31169 int icol, ix, mask = (1 << ialt); in wcsbth_init1() local
/dports/science/opensim-core/opensim-core-4.1/OpenSim/Simulation/Test/
H A DtestStatesTrajectory.cpp719 for (size_t icol = 0; icol < colNames.size(); ++icol) { local
734 for (size_t icol = 0; icol < table.getNumColumns(); ++icol) { local
/dports/math/testu01/TestU01-1.2.3/testu01/
H A Dfwalk.c222 void *par1, int i, int j, int irow, int icol) in TabRWalk1()
323 void *par1, int i, int j, int irow, int icol) in TabVarGeo()
/dports/biology/molden/molden5.8/
H A Ddummyc.c25 void oginsp(double *r, double *adjus, int *natoms, int *nat, int *iatclr, int *icol, in oginsp()
156 void oginid(double *r, double *adjus, int *natoms, int *nat, int *icol, in oginid()
H A Doglmol.c65 static int icol[] = { variable
/dports/math/algae/algae-4.3.6/superlu/
H A Dzgstrf.c215 register int icol; in zgstrf() local
H A Ddgstrf.c215 register int icol; in dgstrf() local
/dports/science/lammps/lammps-stable_29Sep2021/tools/pymol_asphere/src/
H A Dmiscm.cpp143 unsigned i,icol,irow,j,k,l,ll; in invert() local
/dports/science/afni/afni-AFNI_21.3.16/src/SUMA/
H A DSUMA_ScaleToMap.c175 int N_V, N_Int, kar, k, ii, i, icol=-1, vcol=-1, Sgn, interpmode, k3; in main() local
/dports/math/saga/saga-8.1.3/saga-gis/src/tools/table/table_calculus/
H A DLMFit.cpp103 int i, icol, irow, j, k, l, ll; in gaussj() local
/dports/science/cp2k/cp2k-2e995eec7fd208c8a72d9544807bd8b8ba8cd1cc/src/base/
H A Dmachine.F163 INTEGER :: i, icol, iline, imod, stat local
/dports/math/octave-forge-io/io-2.6.4/inst/private/
H A D__OCT_ods2oct__.m120 icol = 0; # Count spreadsheet column variable
/dports/cad/calculix-ccx/CalculiX/ccx_2.18/src/
H A Ddynboun.c44 double *aub, ITG *icol, ITG *irow, ITG *neq, ITG *nzs, in dynboun()
H A Dremastructem.c30 ITG *nactdof, ITG *icol, ITG *jq, ITG **irowp, ITG *isolver, in remastructem()
/dports/science/cp2k-data/cp2k-7.1.0/src/base/
H A Dmachine.F163 INTEGER :: i, icol, iline, imod, stat local
/dports/science/PETSc/petsc-3.14.1/src/mat/impls/adj/mpi/
H A Dmpiadj.c136 …tCreateSubMatrices_MPIAdj_Private(Mat mat,PetscInt n,const IS irow[],const IS icol[],PetscBool sub… in MatCreateSubMatrices_MPIAdj_Private()
209 …e MatCreateSubMatricesMPI_MPIAdj(Mat mat,PetscInt n, const IS irow[],const IS icol[],MatReuse scal… in MatCreateSubMatricesMPI_MPIAdj()
218 …rCode MatCreateSubMatrices_MPIAdj(Mat mat,PetscInt n,const IS irow[],const IS icol[],MatReuse scal… in MatCreateSubMatrices_MPIAdj()
/dports/math/elpa/elpa-2019.05.002/src/elpa2/qr/
H A Delpa_qrkernels_template.F9071 integer(kind=ik) :: icol,irow,v1col,v2col,v3col local
212 integer(kind=ik) :: icol,leftk,rightk local
817 integer(kind=ik) :: icol local
/dports/math/casadi/casadi-3.5.5/casadi/core/
H A Dgetnonzeros.cpp227 vector<casadi_int> icol = isp.get_col(); in eval_mx() local
302 vector<casadi_int> icol; in ad_forward() local
394 vector<casadi_int> icol; in ad_reverse() local
/dports/www/mimetex/mimetex-20120331.1.74/
H A Dmimetex.h177 #define PIXDEX(rp,irow,icol) (((irow)*((rp)->width))+(icol))/*irow,icol indx*/ argument
179 #define getpixel(rp,irow,icol) /*get bit or byte based on pixsz*/ \ argument
183 #define setpixel(rp,irow,icol,value) /*set bit or byte based on pixsz*/ \ argument
/dports/science/apbs/apbs-pdb2pqr-apbs-1.5-102-g500c1473/apbs/src/mg/
H A Dvgrid.c1019 size_t icol, i, j, k, u; in Vgrid_writeGZ() local
1212 size_t icol, i, j, k, u; in Vgrid_writeDX() local
1464 size_t icol, i, j, k, u; in Vgrid_writeDXBIN() local
1695 size_t u, icol, i, j, k; in Vgrid_writeUHBD() local

1...<<11121314151617181920>>...48