Home
last modified time | relevance | path

Searched defs:lcol (Results 1 – 25 of 329) sorted by relevance

12345678910>>...14

/dports/math/octave-forge-io/io-2.6.4/inst/private/
H A D__ods_get_sheet_dims__.m31 lcol = 1024; variable
99 lcol = min (lcol, llcol + repcnt); variable
117 lcol = min (lcol, llcol); variable
132 lcol = rcol = brow = trow = 0; variable
H A D__JXL_getusedrange__.m36 lcol = rcol + 1; variable
45 lcol = min (lcol, jj + 1); variable
H A D__JOD_oct2spsh__.m96 lcol = 0; variable
105 lcol = lcol - 1; variable
110 lcol = lcol - 1; variable
H A D__POI_getusedrange__.m37 lcol = 1048577; ## OOXML (xlsx) max. + 1 variable
46 lcol = min (lcol, scol); variable
H A D__OTK_getusedrange__.m71 lcol = min (lcol, lcell.getTableNumberColumnsRepeatedAttribute () + 1); variable
73 lcol = 1; variable
H A D__OXS_getusedrange__.m28 lcol = sh.getFirstCol () + 1; variable
H A D__COM_getusedrange__.m34 lcol = sh.Range ("A1", toplftcl).columns.Count; variable
/dports/graphics/R-cran-diagram/diagram/R/
H A Dtextrect.R8 lcol="black", shadow.col="grey", angle=0, ...) { argument
19 lcol="black", shadow.col="grey", angle=0, theta = 90, ...) { argument
H A Dtexthexa.R8 lcol="black", shadow.col="grey", angle=0, ...) { argument
H A Dtextdiamond.R7 adj=c(0.5, 0.5),lab="", box.col="white", lcol="black", argument
H A Dtextmulti.R8 lcol="black", shadow.col="grey", angle=0, nr=6, ...) { argument
H A Dtextellipse.R8 lcol="black", shadow.col="grey", angle=0, dr=0.01, ...) { argument
/dports/math/R-cran-VGAM/VGAM/demo/
H A Dcqo.R90 ylim = c(-20, 11), lcol = 1:10) nameattr
100 ylim = c(0, 1), lcol = 1:10) nameattr
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1269/
H A Dent.vhdl11 variable lcol: std_logic_vector(31 downto 0); variable
H A Dent93.vhdl11 variable lcol: std_logic_vector(31 downto 0); variable
/dports/science/dftbplus/dftbplus-19.1/external/scalapackfx/origin/src/
H A Dscalapackfx.F90298 subroutine scalafx_infog2l(mygrid, desc, grow, gcol, lrow, lcol, rsrc, csrc) argument
302 integer, intent(out) :: lrow, lcol local
327 subroutine scalafx_localindices(mygrid, desc, grow, gcol, local, lrow, lcol) argument
348 integer, intent(out) :: lcol local
/dports/net-mgmt/netmagis-detecteq/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/net-mgmt/netmagis-servers/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/net-mgmt/netmagis-topo/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/net-mgmt/netmagis-www/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/net-mgmt/netmagis-utils/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/net-mgmt/netmagis-common/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/net-mgmt/netmagis-database/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/net-mgmt/netmagis-metro/netmagis-2.3.4/common/pkgtcl/
H A Dpgsql.tcl106 proc ::pgsql::getcols {dbfd table where order lcol} {
/dports/graphics/R-cran-shape/shape/R/
H A Droundrect.R7 lcol="black", lwd=2, angle=0, ...) { argument

12345678910>>...14