Searched defs:m_axis_tkeep (Results 1 – 7 of 7) sorted by relevance
34 signal m_axis_tkeep : std_logic_vector(7 downto 0); signal
41 output wire [RATIO-1:0] m_axis_tkeep, // Output stream tkeep port
67 …output wire [NSPC-1:0] m_axis_tkeep, // Output stream tkeep (used as a sample qualifi… port
52 output wire [OUT_WORDS-1:0] m_axis_tkeep, // Output stream tkeep port
36 m_axis_tkeep : out std_logic_vector(7 downto 0); port
47 output wire [ NIPC-1:0] m_axis_tkeep, port
53 output wire [NIPC-1:0] m_axis_tkeep, port