/dports/cad/cascade-compiler/cascade-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde/share/cascade/test/benchmark/mips32/ |
H A D | control.v | 8 output reg mem_write; port
|
H A D | mips32.v | 25 wire mem_write; net
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/ |
H A D | ch_16_ch_16_04.vhd | 58 signal mem_sel, mem_write : boolean; signal
|
H A D | ch_05_fg_05_09.vhd | 41 signal mem_read, mem_write : bit := '0'; signal
|
H A D | ch_04_fg_04_06.vhd | 52 signal mem_read, mem_write : bit := '0'; signal
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/guards-and-blocks/ |
H A D | inline_04.vhd | 51 signal mem_sel, mem_write : boolean; signal
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/wishbone/ |
H A D | zpu_wb_bridge.vhd | 54 mem_write : in std_logic_vector(wordSize-1 downto 0); port
|
H A D | zpu_system.vhd | 68 signal mem_write : std_logic_vector(wordSize-1 downto 0); signal
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/wishbone/ |
H A D | zpu_wb_bridge.vhd | 54 mem_write : in std_logic_vector(wordSize-1 downto 0); port
|
H A D | zpu_system.vhd | 68 signal mem_write : std_logic_vector(wordSize-1 downto 0); signal
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/digital-modeling/ |
H A D | computer_system.vhd | 34 signal mem_read, mem_write : bit := '0'; signal
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/ |
H A D | zpu_top_pkg.vhd | 20 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpu_top_pkg.zpu_wb_bridge
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/ |
H A D | zpu_top_pkg.vhd | 20 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpu_top_pkg.zpu_wb_bridge
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/composite-data/ |
H A D | computer.vhd | 54 signal mem_read, mem_write : bit := '0'; signal
|
/dports/devel/radare2/radare2-5.1.1/libr/core/ |
H A D | cmd_search_rop.c | 233 *mem_write = NULL; in rop_classify_constant() local 318 *mem_write = NULL; in rop_classify_mov() local 413 *mem_write = NULL; in rop_classify_arithmetic() local 540 *reg_write = NULL, *mem_read = NULL, *mem_write = NULL; in rop_classify_arithmetic_const() local
|
/dports/emulators/mess/mame-mame0226/src/mame/machine/ |
H A D | fmt_icmem.cpp | 115 void fmt_icmem_device::mem_write(offs_t offset, uint8_t data) in mem_write() function in fmt_icmem_device
|
/dports/emulators/mame/mame-mame0226/src/mame/machine/ |
H A D | fmt_icmem.cpp | 115 void fmt_icmem_device::mem_write(offs_t offset, uint8_t data) in mem_write() function in fmt_icmem_device
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/core/ |
H A D | zpupkg.vhd | 44 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpupkg.dram 73 mem_write : out std_logic_vector(wordSize-1 downto 0); port in zpupkg.zpu_core
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/core/ |
H A D | zpupkg.vhd | 44 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpupkg.dram 73 mem_write : out std_logic_vector(wordSize-1 downto 0); port in zpupkg.zpu_core
|
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/m68k/fpsp040/ |
H A D | skeleton.S | 409 mem_write: label
|
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/m68k/fpsp040/ |
H A D | skeleton.S | 409 mem_write: label
|
/dports/multimedia/libv4l/linux-5.13-rc2/arch/m68k/fpsp040/ |
H A D | skeleton.S | 409 mem_write: label
|
/dports/emulators/mess/mame-mame0226/src/devices/cpu/tms9900/ |
H A D | tms9980a.cpp | 225 void tms9980a_device::mem_write() in mem_write() function in tms9980a_device
|
/dports/security/gpgme/gpgme-1.15.1/src/ |
H A D | data-mem.c | 58 mem_write (gpgme_data_t dh, const void *buffer, size_t size) in mem_write() function
|
/dports/security/gpgme-qt5/gpgme-1.15.1/src/ |
H A D | data-mem.c | 58 mem_write (gpgme_data_t dh, const void *buffer, size_t size) in mem_write() function
|