Home
last modified time | relevance | path

Searched defs:mem_write (Results 1 – 25 of 203) sorted by relevance

123456789

/dports/cad/cascade-compiler/cascade-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde/share/cascade/test/benchmark/mips32/
H A Dcontrol.v8 output reg mem_write; port
H A Dmips32.v25 wire mem_write; net
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_16_ch_16_04.vhd58 signal mem_sel, mem_write : boolean; signal
H A Dch_05_fg_05_09.vhd41 signal mem_read, mem_write : bit := '0'; signal
H A Dch_04_fg_04_06.vhd52 signal mem_read, mem_write : bit := '0'; signal
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/guards-and-blocks/
H A Dinline_04.vhd51 signal mem_sel, mem_write : boolean; signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/wishbone/
H A Dzpu_wb_bridge.vhd54 mem_write : in std_logic_vector(wordSize-1 downto 0); port
H A Dzpu_system.vhd68 signal mem_write : std_logic_vector(wordSize-1 downto 0); signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/wishbone/
H A Dzpu_wb_bridge.vhd54 mem_write : in std_logic_vector(wordSize-1 downto 0); port
H A Dzpu_system.vhd68 signal mem_write : std_logic_vector(wordSize-1 downto 0); signal
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/digital-modeling/
H A Dcomputer_system.vhd34 signal mem_read, mem_write : bit := '0'; signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/
H A Dzpu_top_pkg.vhd20 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpu_top_pkg.zpu_wb_bridge
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/
H A Dzpu_top_pkg.vhd20 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpu_top_pkg.zpu_wb_bridge
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/composite-data/
H A Dcomputer.vhd54 signal mem_read, mem_write : bit := '0'; signal
/dports/devel/radare2/radare2-5.1.1/libr/core/
H A Dcmd_search_rop.c233 *mem_write = NULL; in rop_classify_constant() local
318 *mem_write = NULL; in rop_classify_mov() local
413 *mem_write = NULL; in rop_classify_arithmetic() local
540 *reg_write = NULL, *mem_read = NULL, *mem_write = NULL; in rop_classify_arithmetic_const() local
/dports/emulators/mess/mame-mame0226/src/mame/machine/
H A Dfmt_icmem.cpp115 void fmt_icmem_device::mem_write(offs_t offset, uint8_t data) in mem_write() function in fmt_icmem_device
/dports/emulators/mame/mame-mame0226/src/mame/machine/
H A Dfmt_icmem.cpp115 void fmt_icmem_device::mem_write(offs_t offset, uint8_t data) in mem_write() function in fmt_icmem_device
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/core/
H A Dzpupkg.vhd44 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpupkg.dram
73 mem_write : out std_logic_vector(wordSize-1 downto 0); port in zpupkg.zpu_core
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/core/
H A Dzpupkg.vhd44 mem_write : in std_logic_vector(wordSize-1 downto 0); port in zpupkg.dram
73 mem_write : out std_logic_vector(wordSize-1 downto 0); port in zpupkg.zpu_core
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/m68k/fpsp040/
H A Dskeleton.S409 mem_write: label
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/m68k/fpsp040/
H A Dskeleton.S409 mem_write: label
/dports/multimedia/libv4l/linux-5.13-rc2/arch/m68k/fpsp040/
H A Dskeleton.S409 mem_write: label
/dports/emulators/mess/mame-mame0226/src/devices/cpu/tms9900/
H A Dtms9980a.cpp225 void tms9980a_device::mem_write() in mem_write() function in tms9980a_device
/dports/security/gpgme/gpgme-1.15.1/src/
H A Ddata-mem.c58 mem_write (gpgme_data_t dh, const void *buffer, size_t size) in mem_write() function
/dports/security/gpgme-qt5/gpgme-1.15.1/src/
H A Ddata-mem.c58 mem_write (gpgme_data_t dh, const void *buffer, size_t size) in mem_write() function

123456789