Home
last modified time | relevance | path

Searched defs:misc_ins (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn3xx_db_fe_core.v25 input [31:0] misc_ins, output [31:0] misc_outs, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Ddb_control.v22 input [31:0] misc_ins, output [31:0] misc_outs, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_core.v533 reg [31:0] misc_ins[0:NUM_DBOARDS-1]; register