Home
last modified time | relevance | path

Searched +defs:n +defs:ita (Results 1 – 25 of 145) sorted by relevance

123456

/dports/math/giacxcas/giac-1.6.0/src/
H A Dindex.cc161 index_t::const_iterator ita=a.begin(),itaend=a.end(); in operator -() local
171 index_t::const_iterator ita=a.begin(),itaend=a.end(); in operator *() local
180 index_t::const_iterator ita=a.begin(),itaend=a.end(); in operator /() local
343 int n=int(p.size()); in inverse() local
438 void index_m::reserve(size_t n) { in reserve()
439 if (int(n)>POLY_VARS){ in reserve() local
553 const deg_t * ita=&*a.begin(), * itb=&*b.begin(); in operator +() local
579 index_t::const_iterator ita=a.begin(); in operator -() local
595 index_t::const_iterator ita=a.begin(),itaend=a.end(); in operator *() local
874 int nvar_total_degree(const index_m & v1,int n){ in nvar_total_degree()
[all …]
/dports/science/dynare/dynare-4.6.4/preprocessor/src/
H A DMinimumFeedbackSet.cc123 AM_2_AdjacencyList(bool *AM, unsigned int n) in AM_2_AdjacencyList()
143 unsigned int n = select_index.size(); in extract_subgraph() local
230 AdjacencyList_t::vertex_iterator it, it1, ita, it_end; in Elimination_of_Vertex_With_One_or_Less_Indegree_or_Outdegree_Step() local
278 AdjacencyList_t::vertex_iterator it, it1, ita, it_end; in Elimination_of_Vertex_belonging_to_a_clique_Step() local
308 AdjacencyList_t::vertex_iterator it, it_end, ita; in Suppression_of_Vertex_X_if_it_loops_store_in_set_of_feedback_vertex_Step() local
430 AdjacencyList_t::vertex_iterator it, it_end, ita; in Reorder_the_recursive_variables() local
/dports/net/kamailio/kamailio-5.4.5/src/modules/msrp/
H A Dmsrp_cmap.c121 msrp_citem_t *ita, *itb; in msrp_cmap_destroy() local
374 msrp_citem_t *ita; in msrp_cmap_clean() local
423 int n; in msrp_cmap_rpc_list() local
/dports/math/cvc4/CVC4-1.7/src/theory/quantifiers/
H A Dquant_epr.cpp25 void QuantEPR::registerNode(Node n, in registerNode()
161 std::map<TypeNode, Node>::iterator ita = d_epr_axiom.find(tn); in mkEPRAxiom() local
H A Dquantifiers_rewriter.cpp35 bool QuantifiersRewriter::isLiteral( Node n ){ in isLiteral()
951 std::vector<Node>::iterator ita = in getVarElimLit() local
997 std::vector<Node>::iterator ita = in getVarElimLit() local
1023 std::vector< Node >::iterator ita = std::find( args.begin(), args.end(), lit ); in getVarElimLit() local
1040 std::vector< Node >::iterator ita = std::find( args.begin(), args.end(), itm->first ); in getVarElimLit() local
1068 std::vector<Node>::iterator ita = in getVarElimLit() local
1085 bool QuantifiersRewriter::getVarElim(Node n, in getVarElim()
1148 std::vector<Node>::iterator ita = in getVarElimIneq() local
1310 std::vector<Node>::iterator ita = std::find(args.begin(), args.end(), v); in getVarElimIneq() local
1539 Node n = body[i]; in computeSplit() local
[all …]
/dports/math/xlife++/xlifepp-sources-v2.0.1-2018-05-09/src/space/
H A DSpectralBasis.hpp67 …SpectralBasis(number_t n, number_t d, const GeomDomain& g, ValueType r = _real, StrucType s = _sca… in SpectralBasis()
168 T& SpectralBasisFun::function(number_t n, const Point& P, T& res) const // compute the n-th function in function()
179 for (number_t n = 1; n <= numberOfFun_; n++) in functions() local
194 typename Vector<T>::const_iterator ita=a.begin(); in evaluateT() local
/dports/math/xlife++/xlifepp-sources-v2.0.1-2018-05-09/src/term/
H A DSpectralBasisInt.hpp89 T& SpectralBasisInt::function(number_t n, const std::vector<real_t>& P, T& res, const Element* elt)… in function()
171 typename Vector<T>::const_iterator ita=a.begin(); in evaluateT() local
/dports/comms/rtl-433/rtl_433-21.12/src/
H A Dbaseband.c215 double ita = 1.0 / tan(M_PI_2 * low_pass); in baseband_demod_FM() local
232 for (unsigned n = 0; n < num_samples; n++) { in baseband_demod_FM() local
308 double ita = 1.0 / tan(M_PI_2 * low_pass); in baseband_demod_FM_cs16() local
325 for (unsigned n = 0; n < num_samples; n++) { in baseband_demod_FM_cs16() local
/dports/devel/plan9port/plan9port-1f098efb7370a0b28306d10681e21883fb1c1507/src/cmd/htmlfmt/
H A Dhtml.c22 int n; in loadhtml() local
42 runetobyte(Rune *r, int n) in runetobyte()
88 int i, n; in renderrunes() local
191 Itable *ita; in render() local
/dports/cad/opencascade/opencascade-7.6.0/src/QADNaming/
H A DQADNaming_SelectionCommands.cxx111 static Standard_Integer QADNaming_Select (Draw_Interpretor& di, Standard_Integer n, const char** a) in QADNaming_Select()
140 static Standard_Integer QADNaming_SolveSelection (Draw_Interpretor& di, Standard_Integer n, const c… in QADNaming_SolveSelection()
171 Standard_Integer n, const char** a) in QADNaming_DumpSelection()
213 Standard_Integer n, const char** a) in QADNaming_ArgsSelection()
273 Standard_Integer n, in QADNaming_Attachment()
298 for (TNaming_MapIteratorOfMapOfNamedShape ita (attachment); ita.More(); ita.Next()) { in QADNaming_Attachment() local
/dports/science/openbabel/openbabel-3.1.1/src/ops/
H A Dopisomorph.cpp317 OBIsomorphismMapper::Mappings::iterator ita; in Do() local
343 int n = sp.GetUMapList().size(); in Do() local
/dports/cad/opencascade/opencascade-7.6.0/src/DNaming/
H A DDNaming_SelectionCommands.cxx111 static Standard_Integer DNaming_Select (Draw_Interpretor& di, Standard_Integer n, const char** a) in DNaming_Select()
187 static Standard_Integer DNaming_SolveSelection (Draw_Interpretor& di, Standard_Integer n, const cha… in DNaming_SolveSelection()
234 Standard_Integer n, const char** a) in DNaming_DumpSelection()
276 Standard_Integer n, const char** a) in DNaming_ArgsSelection()
338 Standard_Integer n, in DNaming_Attachment()
363 for (TNaming_MapIteratorOfMapOfNamedShape ita (attachment); ita.More(); ita.Next()) { in DNaming_Attachment() local
/dports/math/cvc4/CVC4-1.7/src/theory/quantifiers/sygus/
H A Dce_guided_single_inv_sol.cpp209 Node CegSingleInvSol::flattenITEs(Node n, bool rec) in flattenITEs()
275 Node n, in getAssign()
282 std::map< Node, bool >::iterator ita = assign.find( n ); in getAssign() local
406 std::map< Node, bool >::iterator ita = assign.find( sol ); in simplifySolutionNode() local
503 std::map< Node, bool >::iterator ita = assign.find( sol[i] ); in simplifySolutionNode() local
650 Node n = q; in preregisterConjecture() local
1089 int CegSingleInvSol::allocate(Node n, TypeNode stn) in allocate()
1140 Node n, in getEquivalentTerms()
1243 void CegSingleInvSol::registerEquivalentTerms(Node n) in registerEquivalentTerms()
1412 Node n = Node::fromExpr(dt[i].getSygusOp()); in registerType() local
[all …]
H A Dsygus_process_conj.cpp55 Node cn, Node n, std::unordered_map<unsigned, Node>& n_arg_map) in checkMatch()
76 bool SynthConjectureProcessFun::isArgVar(Node n, unsigned& arg_index) in isArgVar()
80 std::unordered_map<Node, unsigned, NodeHashFunction>::iterator ita = in isArgVar() local
92 Node n, in inferDefinition()
279 Node n = ns[index]; in processTerms() local
317 Node n = ns[index]; in processTerms() local
580 void SynthConjectureProcess::initialize(Node n, std::vector<Node>& candidates) in initialize()
621 Node n, Node f, std::unordered_set<Node, NodeHashFunction>& synth_fv) in processConjunct() argument
667 Node n, in flatten()
732 Node n, in getFreeVariables()
[all …]
/dports/net-im/gloox/gloox-1.0.24/src/
H A Dvcard.cpp432 Tag* n = new Tag( v, "N" ); in tag() local
480 AddressList::const_iterator ita = m_addressList.begin(); in tag() local
/dports/math/cvc4/CVC4-1.7/src/theory/quantifiers/cegqi/
H A Dceg_instantiator.cpp106 void CegInstantiator::computeProgVars( Node n ){ in computeProgVars()
142 bool CegInstantiator::isEligible( Node n ) { in isEligible()
478 …for( std::map< Node, Instantiator * >::iterator ita = d_active_instantiators.begin(); ita != d_act… in constructInstantiation() local
620 for (const Node& n : it_eqc->second) in constructInstantiation() local
698 for (const Node& n : it_reqc->second) in constructInstantiation() local
780 std::map<TheoryId, std::vector<Node> >::iterator ita = in constructInstantiation() local
982 Node n = it->second; in doAddInstantiation() local
1396 Node n = it->second[i]; in processAssertions() local
1408 Node n = it->second[i]; in processAssertions() local
1456 Node CegInstantiator::getModelValue( Node n ) { in getModelValue()
[all …]
/dports/science/code_saturne/code_saturne-7.1.0/src/rayt/
H A Dcs_rad_transfer_fsck.c181 int ita[4] = {0}; in _gridposnbsg1() local
407 _seval(int n, in _seval()
471 _splmi(int n, in _splmi()
698 int ita[4] = {0, 0, 0, 0}; in _interpolation4d() local
1072 int n = cs_glob_rad_transfer_params->nwsgg; in cs_rad_transfer_fsck() local
/dports/math/xlife++/xlifepp-sources-v2.0.1-2018-05-09/src/largeMatrix/
H A DLargeMatrix.hpp387 real_t n=norm2(); in squaredNorm() local
1983 number_t n = 0; in add() local
2093 real_t n=0.; in norm2() local
2122 real_t n=0.; in norminfty() local
2142 real_t n=0.; in partialNormOfCol() local
2155 std::vector<std::pair<number_t, number_t> >::iterator ita=rowadr.begin(); in col() local
2166 std::vector<std::pair<number_t, number_t> >::iterator ita=coladr.begin(); in row() local
2209 std::vector<std::pair<number_t, number_t> >::iterator ita; in setColToZero() local
2235 std::vector<std::pair<number_t, number_t> >::iterator ita; in setRowToZero() local
2730 number_t n=vec.size(); in multFactMatrixVector() local
[all …]
/dports/math/xlife++/xlifepp-sources-v2.0.1-2018-05-09/src/term/computation/
H A DIEHMatrixComputation.hpp309 void computeRowColIE(const SuBilinearForm& sublf, bool row, number_t rc, T* rowcol, number_t n, con… in computeRowColIE()
375 number_t k=1, m=rowDofs.size(), n=colDofs.size(); in acaFull() local
385 typename std::vector<T>::iterator itv, ita; in acaFull() local
487 number_t m=rowDofs.size(), n=colDofs.size(); in acaPartial() local
495 typename Vector<T>::iterator itv, ita; in acaPartial() local
711 number_t m=rowDofs.size(), n=colDofs.size(); in acaPlus() local
716 typename Vector<T>::iterator itv, ita; in acaPlus() local
1218 number_t m = rowDofs.size(), n = colDofs.size(); in computeHMatrix() local
/dports/misc/rump/buildrump.sh-b914579/src/sys/dev/usb/
H A Duirda.c60 #define DPRINTFN(n,x) if (uirdadebug>(n)) printf x argument
64 #define DPRINTFN(n,x) argument
475 u_int n; in uirda_read() local
534 uint32_t n; in uirda_write() local
680 uint32_t n; in uirda_set_params() local
830 u_int ita; in uirda_get_turnarounds() local
/dports/math/cvc4/CVC4-1.7/src/theory/datatypes/
H A Ddatatypes_sygus.cpp165 void SygusSymBreakNew::registerTerm( Node n, std::vector< Node >& lemmas ) { in registerTerm()
207 bool SygusSymBreakNew::computeTopLevel( TypeNode tn, Node n ){ in computeTopLevel()
379 Node SygusSymBreakNew::getRelevancyCondition( Node n ) { in getRelevancyCondition()
427 Node SygusSymBreakNew::getTraversalPredicate(TypeNode tn, Node n, bool isPre) in getTraversalPredicate()
444 Node SygusSymBreakNew::eliminateTraversalPredicates(Node n) in eliminateTraversalPredicates()
551 Node n = getFreeVar(tn); in getSimpleSymBreakPred() local
944 std::unordered_map<Node, Node, NodeHashFunction>::iterator ita = in registerSearchTerm() local
959 Node n, in registerSearchValue()
1425 unsigned SygusSymBreakNew::getSearchSizeFor( Node n ) { in getSearchSizeFor()
1427 std::unordered_map<Node, Node, NodeHashFunction>::iterator ita = in getSearchSizeFor() local
[all …]
/dports/multimedia/xine/xine-ui-0.99.12/src/xitk/oxine/
H A Dmediamarks.c112 static int in_cill_order(playitem_t *ita, playitem_t *itb, int type) { in in_cill_order()
408 int *n; in file_is_m3u() local
452 size_t *n; in parse_m3u() local
571 int n; in set_ilabel() local
/dports/math/xlife++/xlifepp-sources-v2.0.1-2018-05-09/src/hierarchicalMatrix/
H A DApproximateMatrix.hpp323 LowRankMatrix<T>::LowRankMatrix(number_t m, number_t n, number_t r, in LowRankMatrix()
359 LowRankMatrix<T>::LowRankMatrix(number_t m, number_t n, number_t r, in LowRankMatrix()
622 number_t m= numberOfRows(), n=numberOfCols(), r=rank(); in row() local
653 number_t m= numberOfRows(), n=numberOfCols(), r=rank(); in col() local
685 number_t m= numberOfRows(), n=numberOfCols(), r=rank(); in operator ()() local
721 number_t m=U_.numberOfRows(), n=V_.numberOfRows(); in add() local
1092 typename std::vector<T>::iterator itv, ita; in multMatrix() local
1125 typename std::vector<T>::iterator itv, ita; in multMatrix() local
1152 number_t m=numberOfRows(), n=numberOfCols(), r= U_.numberOfCols(); in multMatrixCol() local
1201 number_t m=numberOfRows(), n=numberOfCols(), r= U_.numberOfCols(); in multLeftMatrixCol() local
[all …]
/dports/math/GiNaC/ginac-1.8.2/ginac/
H A Dcolor.cpp106 void color::read_archive(const archive_node& n, lst& sym_lst) in read_archive()
386 std::back_insert_iterator<exvector> ita(a); in contract_with() local
/dports/net/gamenetworkingsockets/GameNetworkingSockets-1.3.0/src/steamnetworkingsockets/
H A Dsteamnetworkingsockets_certstore.cpp47 auto ita = a.m_vecItems.begin(); in SetIntersection() local
73 void CertAuthParameter<T,kInvalidItem>::Setup( const T *pItems, int n ) in Setup()

123456