Home
last modified time | relevance | path

Searched defs:net_name (Results 1 – 25 of 100) sorted by relevance

1234

/dports/cad/openroad/OpenROAD-2.0/src/ant/src/
H A DAntennaChecker.i61 check_max_length(const char *net_name, in check_max_length()
77 check_net_violation(char* net_name) in check_net_violation()
/dports/news/nntp/nntp-1.5.12.2_10/inetd/
H A Daccess_inet.c32 inet_netnames(sock, sin, net_name, subnet_name, host_name) in inet_netnames() argument
97 excelan_netnames(sock, sin, net_name, subnet_name, host_name) in excelan_netnames() argument
H A Daccess_dnet.c25 dnet_netnames(sock, sap, net_name, subnet_name, host_name) in dnet_netnames() argument
/dports/news/nntp/nntp-1.5.12.2_10/server/
H A Daccess_inet.c32 inet_netnames(sock, sin, net_name, subnet_name, host_name) in inet_netnames() argument
97 excelan_netnames(sock, sin, net_name, subnet_name, host_name) in excelan_netnames() argument
H A Daccess_dnet.c25 dnet_netnames(sock, sap, net_name, subnet_name, host_name) in dnet_netnames() argument
/dports/misc/py-gluoncv/gluon-cv-0.9.0/scripts/detection/yolo/
H A Deval_yolo.py98 def benchmarking(net, ctx, num_iteration, net_name, datashape=416, batch_size=64): argument
155 net_name = '_'.join((args.algorithm, args.network, args.dataset)) variable
157 net_name = '_'.join((net_name, 'int8')) variable
/dports/security/ADMsmb/ADMsmb_0.3/rpc_pipes/
H A Dpipesrvsvc.c36 static void make_srv_share_info1_str(SH_INFO_1_STR *sh1, char *net_name, char *remark) in make_srv_share_info1_str()
48 static void make_srv_share_info1(SH_INFO_1 *sh1, char *net_name, uint32 type, char *remark) in make_srv_share_info1()
83 pstring net_name; in make_srv_share_1_ctr() local
/dports/cad/geda/geda-gaf-1.8.2/gnetlist/src/
H A Dg_netlist.c148 char *net_name; in g_get_all_nets() local
192 char *net_name; in g_get_all_unique_nets() local
241 char *net_name; in g_get_all_connections() local
331 char *net_name = NULL; in g_get_nets() local
429 char *net_name = NULL; in g_get_pins_nets() local
805 char *net_name; in g_graphical_objs_in_net_with_attrib_get_attrib() local
H A Ds_netattrib.c118 char *net_name = NULL; in s_netattrib_create_pins() local
249 char *net_name = NULL; in s_netattrib_net_search() local
/dports/devel/py-bullet3/bullet3-3.21/examples/pybullet/gym/pybullet_envs/deep_mimic/learning/nets/
H A Dnet_builder.py4 def build_net(net_name, input_tfs, reuse=False): argument
/dports/misc/py-gluoncv/gluon-cv-0.9.0/scripts/detection/ssd/
H A Deval_ssd.py157 net_name = '_'.join(('ssd', str(args.data_shape), args.network, args.dataset)) variable
159 net_name = '_'.join((net_name, 'int8')) variable
/dports/sysutils/ansible/ansible-4.7.0/ansible_collections/cisco/meraki/plugins/modules/
H A Dmeraki_network.py185 def is_net_valid(data, net_name=None, net_id=None): argument
/dports/sysutils/ansible2/ansible-2.9.27/lib/ansible/modules/network/meraki/
H A Dmeraki_network.py187 def is_net_valid(data, net_name=None, net_id=None): argument
/dports/cad/openroad/OpenROAD-2.0/src/pdr/test/
H A Dpdrev_helpers.tcl112 proc find_pdrev_net { nets net_name } {
/dports/cad/openroad/OpenROAD-2.0/src/sta/verilog/
H A DVerilogReader.cc364 const char *net_name = net_name_iter->next(); in makeNamedPortRefCellPorts() local
462 VerilogReader::makeDclArg(const char *net_name) in makeDclArg()
543 const char *net_name = vpin->netName(); in makeModuleInst() local
610 const char *net_name) in makeNetNamedPortRefScalarNet()
810 const char *net_name = arg->netName(); in parseDcl() local
870 VerilogModule::declaration(const char *net_name) in declaration()
950 const char *net_name = net_names_[i]; in ~VerilogLibertyInst() local
1573 const char *net_name) : in VerilogNetPortRefScalarNet()
1722 const char *net_name = net_name_iter->next(); in linkNetwork() local
1958 const char *net_name = nullptr; in makeInstPin() local
[all …]
H A DVerilogWriter.cc223 const char *net_name = network_->name(net); in writeWireDcls() local
308 const char *net_name = network_->name(net); in writeInstPin() local
362 const char *net_name = nullptr; in writeInstBusPinBit() local
/dports/net/remotebox/RemoteBox-2.7/share/remotebox/
H A Drbox_edit_network.pl162 sub net_name { subroutine
/dports/devel/arachne-pnr/arachne-pnr-840bdfdeb38809f9f6af4d89dd7b22959b176fdd/src/
H A Dnetlist.cc317 const std::map<Net *, std::string, IdLess> &net_name, in write_verilog()
441 std::string net_name = orig; in add_net() local
652 std::string net_name = new_name; in rename_net() local
721 std::map<Net *, std::string, IdLess> net_name; in shared_names() local
777 std::map<Net *, std::string, IdLess> net_name; in write_blif() local
851 std::map<Net *, std::string, IdLess> net_name; in write_verilog() local
/dports/net/samba412/samba-4.12.15/source4/torture/rpc/
H A Dwitness.c36 const char *net_name; member
241 const char *net_name; in test_witness_Register() member
377 const char *net_name; in test_witness_RegisterEx() member
752 const char *net_name, in test_do_witness_RegisterEx()
/dports/cad/horizon-eda/horizon-2.1.0/src/imp/
H A Dtuning_window.hpp34 Gtk::TreeModelColumn<Glib::ustring> net_name; member in horizon::TuningWindow::ListColumns
/dports/net/cloud-init/cloud-init-21.4/cloudinit/config/
H A Dcc_lxd.py271 def maybe_cleanup_default(net_name, did_init, create, attach, argument
/dports/graphics/opendx/dx-4.4.4/src/uipp/dxuilib/
H A DGetSetConversionDialog.h61 Widget net_name, net_name_label; variable
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/tools/utils/
H A Dgen_xdc_from_rinf.py25 def add(self, ref_des, net_name, pin_name): argument
38 def lookup_endpoints(self, net_name): argument
/dports/misc/py-gluoncv/gluon-cv-0.9.0/scripts/detection/faster_rcnn/
H A Dtrain_faster_rcnn.py598 net_name = '_'.join(('faster_rcnn', *module_list, args.network, args.dataset)) variable
601 net_name = '_'.join(('custom_faster_rcnn_fpn', args.network, args.dataset)) variable
/dports/cad/kicad-devel/kicad-a17a58203b33e08b966075833b177dad5740c236/eeschema/tools/
H A Dsch_line_wire_bus_tool.h56 wxString net_name; ///< Net label for the unfolding operation member

1234