Home
last modified time | relevance | path

Searched defs:out59 (Results 1 – 2 of 2) sorted by relevance

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug040/
H A Dfsm_224.vhd150 out59 : out std_logic; port
H A Dtop.vhd1048 out59 : out std_logic; port in top.augh.fsm_224