Home
last modified time | relevance | path

Searched defs:out80 (Results 1 – 7 of 7) sorted by relevance

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue50/vector.d/
H A Dfsm_15.vhd57 out80 : out std_logic; port
H A Dtop.vhd663 out80 : out std_logic; port in top.augh.fsm_15
/dports/finance/xtrader/xtrader-0.99.9_10/util/
H A DMHPrice.cpp780 …tics (MHVector* in, MHVector* kout, MHVector* dout, MHVector* out20, MHVector* out80, int k, int s… in Stochastics()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/perf02-long/
H A Dfsm_163.vhd53 out80 : out std_logic; port
H A Dtop.vhd349 out80 : out std_logic; port in top.augh.fsm_163
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug040/
H A Dfsm_224.vhd135 out80 : out std_logic; port
H A Dtop.vhd1033 out80 : out std_logic; port in top.augh.fsm_224