Home
last modified time | relevance | path

Searched defs:random_bits (Results 1 – 25 of 87) sorted by relevance

1234

/dports/www/firefox-legacy/firefox-52.8.0esr/ipc/chromium/src/base/
H A Drand_util.cc36 uint64_t random_bits = base::RandUint64() & ((GG_UINT64_C(1) << kBits) - 1); in RandDouble() local
/dports/lang/spidermonkey60/firefox-60.9.0/ipc/chromium/src/base/
H A Drand_util.cc36 uint64_t random_bits = base::RandUint64() & ((GG_UINT64_C(1) << kBits) - 1); in RandDouble() local
/dports/biology/stringtie/stringtie-2.1.1/SuperReads_RNA/global-1/jellyfish/unit_tests/
H A Dtest_main.hpp11 inline uint64_t random_bits() { return random_bits(64); } in random_bits() function
H A Dtest_main.cc35 uint64_t random_bits(int length) { in random_bits() function
/dports/biology/jellyfish/jellyfish-2.3.0/unit_tests/
H A Dtest_main.hpp11 inline uint64_t random_bits() { return random_bits(64); } in random_bits() function
H A Dtest_main.cc35 uint64_t random_bits(int length) { in random_bits() function
/dports/lang/erlang-runtime23/otp-OTP-23.3.4.10/lib/asn1/test/
H A DtestPrimStrings.erl242 random_bits(N) -> function
247 random_bits(Bin, N, Seed) -> function
/dports/lang/erlang-runtime24/otp-OTP-24.1.7/lib/asn1/test/
H A DtestPrimStrings.erl242 random_bits(N) -> function
247 random_bits(Bin, N, Seed) -> function
/dports/lang/erlang-runtime22/otp-OTP-22.3.4.24/lib/asn1/test/
H A DtestPrimStrings.erl242 random_bits(N) -> function
247 random_bits(Bin, N, Seed) -> function
/dports/lang/erlang-wx/otp-OTP-24.1.7/lib/asn1/test/
H A DtestPrimStrings.erl242 random_bits(N) -> function
247 random_bits(Bin, N, Seed) -> function
/dports/lang/erlang-java/otp-OTP-24.1.7/lib/asn1/test/
H A DtestPrimStrings.erl242 random_bits(N) -> function
247 random_bits(Bin, N, Seed) -> function
/dports/lang/erlang-runtime21/otp-OTP-21.3.8.24/lib/asn1/test/
H A DtestPrimStrings.erl242 random_bits(N) -> function
247 random_bits(Bin, N, Seed) -> function
/dports/lang/erlang/otp-OTP-24.1.7/lib/asn1/test/
H A DtestPrimStrings.erl242 random_bits(N) -> function
247 random_bits(Bin, N, Seed) -> function
/dports/graphics/libjxl/libjxl-0.6.1/lib/jxl/
H A Dxorshift128plus-inl.h44 HWY_INLINE HWY_MAYBE_UNUSED void Fill(uint64_t* HWY_RESTRICT random_bits) { in Fill()
/dports/www/firefox/firefox-99.0/third_party/jpeg-xl/lib/jxl/
H A Dxorshift128plus-inl.h44 HWY_INLINE HWY_MAYBE_UNUSED void Fill(uint64_t* HWY_RESTRICT random_bits) { in Fill()
/dports/mail/thunderbird/thunderbird-91.8.0/third_party/jpeg-xl/lib/jxl/
H A Dxorshift128plus-inl.h44 HWY_INLINE HWY_MAYBE_UNUSED void Fill(uint64_t* HWY_RESTRICT random_bits) { in Fill()
/dports/www/firefox-esr/firefox-91.8.0/third_party/jpeg-xl/lib/jxl/
H A Dxorshift128plus-inl.h44 HWY_INLINE HWY_MAYBE_UNUSED void Fill(uint64_t* HWY_RESTRICT random_bits) { in Fill()
/dports/www/chromium-legacy/chromium-88.0.4324.182/base/
H A Drand_util.cc51 uint64_t random_bits = bits & ((UINT64_C(1) << kBits) - 1); in BitsToOpenEndedUnitInterval() local
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/base/
H A Drand_util.cc51 uint64_t random_bits = bits & ((UINT64_C(1) << kBits) - 1); in BitsToOpenEndedUnitInterval() local
/dports/www/wt/wt-4.6.1/src/Wt/Auth/
H A Dpasswdqc.h30 int random_bits; member
/dports/games/openttd/openttd-12.1/src/
H A Dnewgrf_industries.h20 uint32 random_bits; ///< Random bits of the new industry. member
/dports/www/chromium-legacy/chromium-88.0.4324.182/base/sampling_heap_profiler/
H A Dlock_free_address_hash_set.h129 constexpr uintptr_t random_bits = static_cast<uintptr_t>(0x4bfdb9df5a6f243b); in Hash() local
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/base/sampling_heap_profiler/
H A Dlock_free_address_hash_set.h129 constexpr uintptr_t random_bits = static_cast<uintptr_t>(0x4bfdb9df5a6f243b); in Hash() local
/dports/biology/stringtie/stringtie-2.1.1/SuperReads_RNA/global-1/jellyfish/lib/
H A Dmisc.cc74 uint64_t random_bits(int length) { in random_bits() function
/dports/biology/jellyfish/jellyfish-2.3.0/lib/
H A Dmisc.cc66 uint64_t random_bits(int length) { in random_bits() function

1234