Home
last modified time | relevance | path

Searched defs:reg_rd_req (Results 1 – 16 of 16) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Dctrlport_to_regport.v44 output reg reg_rd_req = 1'b0, port
H A Dregport_to_xbar_settingsbus.v38 input reg_rd_req, port
H A Daxi_crossbar_regport.v30 input reg_rd_req, port
H A Daxil_regport_master.v82 output reg_rd_req, port
H A Dmdio_master.v25 input reg_rd_req, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/sim/one_gig_eth_loopback/
H A Ddemo_one_gig_pcs_pma_mdio.v224 reg reg_rd_req; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/xport_sv/
H A Deth_ipv4_interface.sv55 input logic reg_rd_req, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/xport_sv/eth_interface_tb/
H A Deth_ifc_synth_test.sv43 input logic reg_rd_req, port
H A Deth_ifc_tb.sv105 reg reg_rd_req = 1'b0; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/xport/
H A Deth_interface.v26 input reg_rd_req, port
H A Deth_internal.v93 wire reg_rd_req; net
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn3xx_mgt_wrapper.v41 input wire reg_rd_req, port
H A Dn3xx_mgt_channel_wrapper.v195 wire reg_rd_req; net
H A Dn3xx_mgt_io_core.v59 input reg_rd_req, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A Dn3xx_mgt_io_core.v56 input reg_rd_req, port
H A Dn3xx_sfp_wrapper.v170 wire reg_rd_req; net