Home
last modified time | relevance | path

Searched defs:s_out_axis_tdata (Results 1 – 8 of 8) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_siggen/
H A Drfnoc_block_siggen.v82 wire [NUM_PORTS*32*1-1:0] s_out_axis_tdata; net
H A Dnoc_shell_siggen.v88 input wire [NUM_PORTS*32*1-1:0] s_out_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_keep_one_in_n/
H A Drfnoc_block_keep_one_in_n.v87 wire [32*NUM_PORTS-1:0] s_out_axis_tdata; net
H A Dnoc_shell_keep_one_in_n.v99 input wire [NUM_PORTS*32*1-1:0] s_out_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_replay/
H A Dnoc_shell_replay.v101 input wire [NUM_PORTS*32*MEM_DATA_W/32-1:0] s_out_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_duc/
H A Dnoc_shell_duc.v103 input wire [NUM_PORTS*32*1-1:0] s_out_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_ddc/
H A Dnoc_shell_ddc.v103 input wire [NUM_PORTS*32*1-1:0] s_out_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_radio/
H A Dnoc_shell_radio.v119 input wire [NUM_PORTS*ITEM_W*NIPC-1:0] s_out_axis_tdata, port