Home
last modified time | relevance | path

Searched defs:s_rfnoc_ctrl_tvalid (Results 1 – 25 of 42) sorted by relevance

12

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/core/
H A Daxis_ctrl_endpoint.v34 input wire s_rfnoc_ctrl_tvalid, port
H A Dchdr_to_axis_ctrl.v46 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_split_stream/
H A Drfnoc_block_split_stream.v66 input wire s_rfnoc_ctrl_tvalid, port
H A Dnoc_shell_split_stream.v60 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_siggen/
H A Drfnoc_block_siggen.v58 input wire s_rfnoc_ctrl_tvalid, port
H A Dnoc_shell_siggen.v61 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_logpwr/
H A Drfnoc_block_logpwr.v57 input wire s_rfnoc_ctrl_tvalid, port
H A Dnoc_shell_logpwr.v61 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_switchboard/
H A Dnoc_shell_switchboard.v60 input wire s_rfnoc_ctrl_tvalid, port
H A Drfnoc_block_switchboard.v69 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_window/
H A Drfnoc_block_window.v62 input wire s_rfnoc_ctrl_tvalid, port
H A Dnoc_shell_window.v62 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_moving_avg/
H A Drfnoc_block_moving_avg.v55 input wire s_rfnoc_ctrl_tvalid, port
H A Dnoc_shell_moving_avg.v61 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/examples/rfnoc-example/fpga/rfnoc_block_gain/
H A Drfnoc_block_gain.v49 input wire s_rfnoc_ctrl_tvalid, port
H A Dnoc_shell_gain.v58 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_keep_one_in_n/
H A Drfnoc_block_keep_one_in_n.v53 input wire s_rfnoc_ctrl_tvalid, port
H A Dnoc_shell_keep_one_in_n.v61 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fir_filter/
H A Drfnoc_block_fir_filter.v104 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_addsub/
H A Drfnoc_block_addsub.v57 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/
H A Dnoc_shell_axi_ram_fifo.v66 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/
H A Drfnoc_block_fosphor.v67 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_replay/
H A Dnoc_shell_replay.v63 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_vector_iir/
H A Dnoc_shell_vector_iir.v61 input wire s_rfnoc_ctrl_tvalid, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fft/
H A Dnoc_shell_fft.v64 input wire s_rfnoc_ctrl_tvalid, port

12