Home
last modified time | relevance | path

Searched defs:scf_flags (Results 1 – 4 of 4) sorted by relevance

/dports/multimedia/v4l_compat/linux-5.13-rc2/kernel/
H A Dsmp.c864 unsigned int scf_flags, in smp_call_function_many_cond()
1127 unsigned int scf_flags = SCF_RUN_LOCAL; in on_each_cpu_cond_mask() local
/dports/multimedia/libv4l/linux-5.13-rc2/kernel/
H A Dsmp.c864 unsigned int scf_flags, in smp_call_function_many_cond()
1127 unsigned int scf_flags = SCF_RUN_LOCAL; in on_each_cpu_cond_mask() local
/dports/multimedia/v4l-utils/linux-5.13-rc2/kernel/
H A Dsmp.c864 unsigned int scf_flags, in smp_call_function_many_cond()
1127 unsigned int scf_flags = SCF_RUN_LOCAL; in on_each_cpu_cond_mask() local
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue30/
H A Dalu.vhdl1201 signal scf_flags: std_logic_vector(7 downto 0); signal