Home
last modified time | relevance | path

Searched refs:data010 (Results 151 – 175 of 652) sorted by relevance

12345678910>>...27

/dports/devel/mingw32-gcc/gcc-4.8.1/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/09_cyl_bessel_j/
H A Dcheck_value.cc511 testcase_cyl_bessel_j<double> data010[] = { variable
542 unsigned int num_datum = sizeof(data010) in test010()
546 const Tp f = std::tr1::cyl_bessel_j(Tp(data010[i].nu), Tp(data010[i].x)); in test010()
547 const Tp f0 = data010[i].f0; in test010()
/dports/lang/gcc8/gcc-8.5.0/libstdc++-v3/testsuite/special_functions/07_cyl_bessel_i/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/lang/gcc8/gcc-8.5.0/libstdc++-v3/testsuite/special_functions/08_cyl_bessel_j/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/08_cyl_bessel_j/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/12_ellint_2/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/06_comp_ellint_3/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/06_comp_ellint_3/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/13_ellint_2/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/07_cyl_bessel_i/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/12_ellint_1/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/08_cyl_bessel_i/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/09_cyl_bessel_j/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/11_ellint_1/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/08_cyl_bessel_i/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/06_comp_ellint_3/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/11_ellint_1/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/12_ellint_2/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/06_comp_ellint_3/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/07_cyl_bessel_i/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/08_cyl_bessel_j/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/09_cyl_bessel_j/
H A Dcheck_value.cc146 data010[21] = variable
734 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/12_ellint_1/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/13_ellint_2/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/aarch64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/special_functions/12_ellint_2/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()
/dports/devel/aarch64-none-elf-gcc/gcc-8.4.0/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/12_ellint_1/
H A Dcheck_value.cc245 data010[10] = variable
497 test(data010, toler010); in main()

12345678910>>...27