Home
last modified time | relevance | path

Searched refs:data142 (Results 76 – 79 of 79) sorted by relevance

1234

/dports/devel/arm-none-eabi-gcc492/gcc-4.9.2/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/17_hyperg/
H A Dcheck_value.cc9772 testcase_hyperg<double> data142[] = { variable
9820 unsigned int num_datum = sizeof(data142) in test142()
9824 const Tp f = std::tr1::hyperg(Tp(data142[i].a), Tp(data142[i].b), in test142()
9825 Tp(data142[i].c), Tp(data142[i].x)); in test142()
9826 const Tp f0 = data142[i].f0; in test142()
/dports/lang/gcc6-aux/gcc-6-20180516/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/17_hyperg/
H A Dcheck_value.cc9772 testcase_hyperg<double> data142[] = { variable
9820 unsigned int num_datum = sizeof(data142) in test142()
9824 const Tp f = std::tr1::hyperg(Tp(data142[i].a), Tp(data142[i].b), in test142()
9825 Tp(data142[i].c), Tp(data142[i].x)); in test142()
9826 const Tp f0 = data142[i].f0; in test142()
/dports/devel/mingw32-gcc/gcc-4.8.1/libstdc++-v3/testsuite/tr1/5_numerical_facilities/special_functions/17_hyperg/
H A Dcheck_value.cc9772 testcase_hyperg<double> data142[] = { variable
9820 unsigned int num_datum = sizeof(data142) in test142()
9824 const Tp f = std::tr1::hyperg(Tp(data142[i].a), Tp(data142[i].b), in test142()
9825 Tp(data142[i].c), Tp(data142[i].x)); in test142()
9826 const Tp f0 = data142[i].f0; in test142()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue30/
H A Dbasicblocks.vhdl4393 data142: in std_logic; port
4658 data142 when "10001110",
4925 data142: in std_logic_vector((N-1) downto 0); port
5189 data142: in std_logic; port in encoder256xN.struct_encoder256xN.encoder256x1
5453 data142 => data142(i),

1234