Home
last modified time | relevance | path

Searched refs:set_property (Results 151 – 175 of 17148) sorted by relevance

12345678910>>...686

/dports/multimedia/gstreamer1-plugins-rust/gst-plugins-rs-d0466b3eee114207f851b37cae0015c0e718f021/generic/sodium/tests/
H A Dencrypter.rs77 enc.set_property("sender-key", &*SENDER_PRIVATE) in encrypt_file()
79 enc.set_property("receiver-key", &*RECEIVER_PUBLIC) in encrypt_file()
81 enc.set_property("block-size", &1024u32) in encrypt_file()
120 enc.set_property("receiver-key", &*RECEIVER_PUBLIC) in test_state_changes()
126 enc.set_property("sender-key", &*SENDER_PRIVATE) in test_state_changes()
134 enc.set_property("sender-key", &*SENDER_PRIVATE) in test_state_changes()
136 enc.set_property("receiver-key", &*RECEIVER_PUBLIC) in test_state_changes()
144 enc.set_property("sender-key", &*SENDER_PRIVATE) in test_state_changes()
146 enc.set_property("receiver-key", &*RECEIVER_PUBLIC) in test_state_changes()
/dports/graphics/goocanvasmm2/goocanvasmm-1.90.9/examples/text/
H A Dwindow.cc42 m_canvas.set_property("units", Gtk::UNIT_MM); in ExampleWindow()
55 m_text->set_property("font", Glib::ustring("Sans 9")); in ExampleWindow()
56 m_text->set_property("line_width", 10.0); in ExampleWindow()
57 m_text->set_property("stroke_color", Glib::ustring("yellow")); in ExampleWindow()
58 m_text->set_property("fill_color", Glib::ustring("gray")); in ExampleWindow()
130 m_text->set_property("x", 50); in on_button_setxy()
131 m_text->set_property("y", 50); in on_button_setxy()
154 m_text->set_property("width", 40); in on_button_set_width()
165 m_text->set_property("width", -1); in on_button_set_width_unlimited()
/dports/devel/cmake/cmake-3.22.1/Tests/QtAutogen/RccSkipSource/
H A DCMakeLists.txt12 set_property(SOURCE skipRccBad1.qrc PROPERTY SKIP_AUTORCC ON)
13 set_property(SOURCE skipRccBad2.qrc PROPERTY SKIP_AUTOGEN ON)
16 set_property(TARGET skipRccA PROPERTY AUTORCC ON)
20 set_property(TARGET skipRccB PROPERTY AUTORCC ON)
21 set_property(TARGET skipRccB PROPERTY AUTOUIC ON)
22 set_property(TARGET skipRccB PROPERTY AUTOMOC ON)
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/CMP0118/subdir-Common-Test9/
H A DCMakeLists.txt15 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source1.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source2.txt"
20 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source3.txt"
23 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source4.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source5.txt"
28 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source6.txt"
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/CMP0118/subdir-Common-Test8/
H A DCMakeLists.txt15 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source1.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source2.txt"
20 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source3.txt"
23 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source4.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source5.txt"
28 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source6.txt"
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/InterfaceLibrary/
H A DIMPORTED_LIBNAME-non-iface-stderr.txt1 ^CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
7 CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
12 CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
18 CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
23 CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
29 CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
35 CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
41 CMake Error at IMPORTED_LIBNAME-non-iface.cmake:[0-9]+ \(set_property\):
H A DIMPORTED_LIBNAME-bad-value.cmake2 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME -flag)
3 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME item1 item2)
4 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME /path/to/item1)
5 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME \\path\\to\\item1)
6 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME c:\\path\\to\\item1)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/ip/e31x_ps_bd/
H A Dchdr_dma_tx.tcl55 set_property -dict [ list \
60 set_property -dict [ list \
66 set_property -dict [ list \
76 set_property -dict [ list \
81 set_property -dict [ list \
129 set_property [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} $axi_crossbar_0
130 set_property -dict [ list \
135 set_property -dict [ list \
147 set_property -dict [ list \
150 set_property -dict [ list \
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/n310_ps_bd/
H A Dchdr_dma_tx.tcl55 set_property -dict [ list \
60 set_property -dict [ list \
66 set_property -dict [ list \
76 set_property -dict [ list \
81 set_property -dict [ list \
129 set_property [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} $axi_crossbar_0
130 set_property -dict [ list \
135 set_property -dict [ list \
147 set_property -dict [ list \
150 set_property -dict [ list \
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/ip/e320_ps_bd/
H A Dchdr_dma_tx.tcl55 set_property -dict [ list \
60 set_property -dict [ list \
66 set_property -dict [ list \
76 set_property -dict [ list \
81 set_property -dict [ list \
129 set_property [format "CONFIG.S%02d_SINGLE_THREAD" ${i}] {1} $axi_crossbar_0
130 set_property -dict [ list \
135 set_property -dict [ list \
147 set_property -dict [ list \
150 set_property -dict [ list \
/dports/graphics/atril-lite/atril-1.26.0/properties/
H A Dev-properties-view.c153 set_property (EvPropertiesView *properties, in set_property() function
327 set_property (properties, GTK_GRID (grid), TITLE_PROPERTY, info->title, &row); in ev_properties_view_set_info()
329 set_property (properties, GTK_GRID (grid), URI_PROPERTY, properties->uri, &row); in ev_properties_view_set_info()
331 set_property (properties, GTK_GRID (grid), SUBJECT_PROPERTY, info->subject, &row); in ev_properties_view_set_info()
334 set_property (properties, GTK_GRID (grid), AUTHOR_PROPERTY, info->author, &row); in ev_properties_view_set_info()
343 set_property (properties, GTK_GRID (grid), CREATOR_PROPERTY, info->creator, &row); in ev_properties_view_set_info()
347 set_property (properties, GTK_GRID (grid), CREATION_DATE_PROPERTY, text, &row); in ev_properties_view_set_info()
352 set_property (properties, GTK_GRID (grid), MOD_DATE_PROPERTY, text, &row); in ev_properties_view_set_info()
356 set_property (properties, GTK_GRID (grid), FORMAT_PROPERTY, info->format, &row); in ev_properties_view_set_info()
360 set_property (properties, GTK_GRID (grid), N_PAGES_PROPERTY, text, &row); in ev_properties_view_set_info()
[all …]
/dports/graphics/atril/atril-1.26.0/properties/
H A Dev-properties-view.c153 set_property (EvPropertiesView *properties, in set_property() function
327 set_property (properties, GTK_GRID (grid), TITLE_PROPERTY, info->title, &row); in ev_properties_view_set_info()
329 set_property (properties, GTK_GRID (grid), URI_PROPERTY, properties->uri, &row); in ev_properties_view_set_info()
331 set_property (properties, GTK_GRID (grid), SUBJECT_PROPERTY, info->subject, &row); in ev_properties_view_set_info()
334 set_property (properties, GTK_GRID (grid), AUTHOR_PROPERTY, info->author, &row); in ev_properties_view_set_info()
343 set_property (properties, GTK_GRID (grid), CREATOR_PROPERTY, info->creator, &row); in ev_properties_view_set_info()
347 set_property (properties, GTK_GRID (grid), CREATION_DATE_PROPERTY, text, &row); in ev_properties_view_set_info()
352 set_property (properties, GTK_GRID (grid), MOD_DATE_PROPERTY, text, &row); in ev_properties_view_set_info()
356 set_property (properties, GTK_GRID (grid), FORMAT_PROPERTY, info->format, &row); in ev_properties_view_set_info()
360 set_property (properties, GTK_GRID (grid), N_PAGES_PROPERTY, text, &row); in ev_properties_view_set_info()
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/lib/rfnoc/
H A Dfosphor_block_control.cpp105 set_property<bool>(PROP_KEY_CLEAR_HISTORY, true); in clear_history()
110 set_property<bool>(PROP_KEY_ENABLE_DITHER, enable_dither); in set_enable_dither()
120 set_property<bool>(PROP_KEY_ENABLE_NOISE, enable_noise); in set_enable_noise()
130 set_property<int>(PROP_KEY_HIST_DECIMATION, decimation); in set_histogram_decimation()
140 set_property<int>(PROP_KEY_OFFSET, offset); in set_histogram_offset()
150 set_property<int>(PROP_KEY_SCALE, scale); in set_histogram_scale()
160 set_property<int>(PROP_KEY_RISE_TIME, rise_rate); in set_histogram_rise_rate()
170 set_property<int>(PROP_KEY_DECAY_TIME, decay_rate); in set_histogram_decay_rate()
180 set_property<int>(PROP_KEY_ALPHA, alpha); in set_spectrum_alpha()
190 set_property<int>(PROP_KEY_EPSILON, epsilon); in set_spectrum_max_hold_decay()
[all …]
/dports/print/lilypond-devel/lilypond-2.23.5/lily/
H A Dvaticana-ligature-engraver.cc302 set_property (primitive, "x-offset", in align_heads()
321 set_property (prev_primitive, "add-join", in align_heads()
405 set_property (dot, "dot-count", to_scm (1)); in add_mora_column()
494 set_property (Rhythmic_head::get_dots (primitive), in transform_heads()
513 set_property (primitive, "context-info", in transform_heads()
649 set_property (prev_primitive, "flexa-height", in transform_heads()
651 set_property (prev_primitive, "flexa-width", in transform_heads()
654 set_property (prev_primitive, "add-cauda", in transform_heads()
658 set_property (primitive, "flexa-width", in transform_heads()
685 set_property (prev_primitive, "glyph-name", in transform_heads()
[all …]
/dports/textproc/ibus/ibus-1.5.24/setup/
H A Denginetreeview.py73 renderer.set_property("xalign", 0)
78 renderer.set_property("xalign", 0)
90 renderer.set_property("xalign", 0)
91 renderer.set_property("model", model)
92 renderer.set_property("text-column", 0)
93 renderer.set_property("has-entry", False)
94 renderer.set_property("editable", True)
143 renderer.set_property("pixbuf", pixbuf)
154 renderer.set_property("sensitive", True)
157 renderer.set_property("text",
[all …]
/dports/www/eolie/eolie-0.9.101/eolie/
H A Dpages_manager_generic.py46 close_button.set_property("valign", Gtk.Align.CENTER)
47 close_button.set_property("halign", Gtk.Align.END)
55 self.__indicator_label.set_property("halign", Gtk.Align.CENTER)
56 self.__indicator_label.set_property("valign", Gtk.Align.CENTER)
58 self.__indicator_label.set_property("has-tooltip", True)
64 self.__indicator_image.set_property("halign", Gtk.Align.CENTER)
65 self.__indicator_image.set_property("valign", Gtk.Align.CENTER)
79 grid.set_property("valign", Gtk.Align.END)
80 grid.set_property("margin", MARGIN_SMALL)
90 self.set_property("halign", Gtk.Align.START)
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/QtAutogen/MocSkipSource/
H A DCMakeLists.txt23 set_property(SOURCE qItemA.cpp PROPERTY SKIP_AUTOMOC ON)
24 set_property(SOURCE qItemB.cpp PROPERTY SKIP_AUTOGEN ON)
26 set_property(SOURCE qItemC.hpp PROPERTY SKIP_AUTOMOC ON)
27 set_property(SOURCE qItemD.hpp PROPERTY SKIP_AUTOGEN ON)
30 set_property(TARGET skipMocA PROPERTY AUTOMOC ON)
41 set_property(TARGET skipMocB PROPERTY AUTOMOC ON)
42 set_property(TARGET skipMocB PROPERTY AUTOUIC ON)
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/CMP0118/subdir-Common-Test10/
H A DCMakeLists.txt18 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source1.txt"
20 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source2.txt"
23 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source3.txt"
26 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source4.txt"
28 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source5.txt"
31 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source6.txt"
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/CMP0118/subdir-Common-Test11/
H A DCMakeLists.txt18 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source1.txt"
20 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source2.txt"
23 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source3.txt"
26 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source4.txt"
28 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source5.txt"
31 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/../Generated_source6.txt"
/dports/graphics/goocanvasmm2/goocanvasmm-1.90.9/examples/simple/
H A Dexamplewindow.cc40 rect->set_property("line_width", 10.0); in ExampleWindow()
41 rect->set_property("radius_x", 20.0); in ExampleWindow()
42 rect->set_property("radius_y", 20.0); in ExampleWindow()
43 rect->set_property("stroke_color", Glib::ustring("yellow")); in ExampleWindow()
44 rect->set_property("fill_color", Glib::ustring("red")); in ExampleWindow()
54 text->set_property("font=", Glib::ustring("Sans 24")); in ExampleWindow()
/dports/devel/cmake-gui/cmake-3.22.1/Tests/RunCMake/InterfaceLibrary/
H A DIMPORTED_LIBNAME-bad-value.cmake2 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME -flag)
3 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME item1 item2)
4 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME /path/to/item1)
5 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME \\path\\to\\item1)
6 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME c:\\path\\to\\item1)
/dports/devel/cmake-doc/cmake-3.22.1/Tests/RunCMake/InterfaceLibrary/
H A DIMPORTED_LIBNAME-bad-value.cmake2 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME -flag)
3 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME item1 item2)
4 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME /path/to/item1)
5 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME \\path\\to\\item1)
6 set_property(TARGET MyTarget PROPERTY IMPORTED_LIBNAME c:\\path\\to\\item1)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/ip/ten_gig_eth_pcs_pma/
H A Dten_gige_phy.xdc66 set_property IOSTANDARD HSTL_I [get_ports {xgmii_txc[*]}]
67 set_property IOSTANDARD HSTL_I [get_ports {xgmii_txd[*]}]
69 set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxc[*]}]
70 set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxd[*]}]
72 set_property IOB TRUE [get_cells {xgmii_rxc_reg[*]}]
73 set_property IOB TRUE [get_cells {xgmii_rxd_reg[*]}]
75 set_property IOSTANDARD HSTL_I [get_ports xgmii_rx_clk]
81 set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_out*reg*}]
82 set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_tri*reg*}]
88 set_property IOB TRUE [get_cells * -hierarchical -filter {NAME =~ mdc_reg_reg}]
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/ten_gig_eth_pcs_pma/
H A Dten_gige_phy.xdc66 set_property IOSTANDARD HSTL_I [get_ports {xgmii_txc[*]}]
67 set_property IOSTANDARD HSTL_I [get_ports {xgmii_txd[*]}]
69 set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxc[*]}]
70 set_property IOSTANDARD HSTL_I [get_ports {xgmii_rxd[*]}]
72 set_property IOB TRUE [get_cells {xgmii_rxc_reg[*]}]
73 set_property IOB TRUE [get_cells {xgmii_rxd_reg[*]}]
75 set_property IOSTANDARD HSTL_I [get_ports xgmii_rx_clk]
81 set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_out*reg*}]
82 set_property IOB TRUE [get_cells * -filter {NAME =~ *mdio_tri*reg*}]
88 set_property IOB TRUE [get_cells * -hierarchical -filter {NAME =~ mdc_reg_reg}]
[all …]
/dports/devel/cmake-gui/cmake-3.22.1/Tests/RunCMake/FileAPI/
H A Dinclude_test.cmake5 set_property(TARGET interface_exe PROPERTY ENABLE_EXPORTS ON)
6 set_property(TARGET interface_exe PROPERTY RUNTIME_OUTPUT_DIRECTORY bin)
7 set_property(TARGET interface_exe PROPERTY ARCHIVE_OUTPUT_DIRECTORY lib)
8 set_property(TARGET interface_exe PROPERTY OUTPUT_NAME my_interface_exe)
9 set_property(TARGET interface_exe PROPERTY SUFFIX .myexe)

12345678910>>...686