Home
last modified time | relevance | path

Searched refs:set_property (Results 51 – 75 of 11004) sorted by relevance

12345678910>>...441

/dports/cad/openfpgaloader/openFPGALoader-0.6.1/spiOverJtag/
H A Dconstr_xc7a_cpg236.xdc1 set_property CFGBVS VCCO [current_design]
2 set_property CONFIG_VOLTAGE 3.3 [current_design]
3 set_property BITSTREAM.CONFIG.SPI_BUSWIDTH {4} [current_design]
4 set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
5 set_property -dict {PACKAGE_PIN K19 IOSTANDARD LVCMOS33} [get_ports {csn}];
6 set_property -dict {PACKAGE_PIN D18 IOSTANDARD LVCMOS33} [get_ports {sdi_dq0}];
7 set_property -dict {PACKAGE_PIN D19 IOSTANDARD LVCMOS33} [get_ports {sdo_dq1}];
8 set_property -dict {PACKAGE_PIN G18 IOSTANDARD LVCMOS33} [get_ports {wpn_dq2}];
9 set_property -dict {PACKAGE_PIN F18 IOSTANDARD LVCMOS33} [get_ports {hldn_dq3}];
H A Dconstr_xc7a_csg324.xdc1 set_property CFGBVS VCCO [current_design]
2 set_property CONFIG_VOLTAGE 3.3 [current_design]
3 set_property BITSTREAM.CONFIG.SPI_BUSWIDTH {4} [current_design]
5 set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVCMOS33} [get_ports {csn}];
6 set_property -dict {PACKAGE_PIN K17 IOSTANDARD LVCMOS33} [get_ports {sdi_dq0}];
7 set_property -dict {PACKAGE_PIN K18 IOSTANDARD LVCMOS33} [get_ports {sdo_dq1}];
8 set_property -dict {PACKAGE_PIN L14 IOSTANDARD LVCMOS33} [get_ports {wpn_dq2}];
9 set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS33} [get_ports {hldn_dq3}];
H A Dconstr_xc7a_fgg484.xdc1 set_property CFGBVS VCCO [current_design]
2 set_property CONFIG_VOLTAGE 3.3 [current_design]
3 set_property BITSTREAM.CONFIG.SPI_BUSWIDTH {4} [current_design]
5 set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVTTL} [get_ports {csn}]
6 set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVTTL} [get_ports {sdi_dq0}]
7 set_property -dict {PACKAGE_PIN R22 IOSTANDARD LVTTL} [get_ports {sdo_dq1}]
8 set_property -dict {PACKAGE_PIN P21 IOSTANDARD LVTTL} [get_ports {wpn_dq2}]
9 set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVTTL} [get_ports {hldn_dq3}]
H A Dconstr_xc7a_sbg384.xdc1 set_property CFGBVS VCCO [current_design]
2 set_property CONFIG_VOLTAGE 3.3 [current_design]
3 set_property BITSTREAM.CONFIG.SPI_BUSWIDTH {4} [current_design]
5 set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS33} [get_ports {csn}]
6 set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS33} [get_ports {sdi_dq0}]
7 set_property -dict {PACKAGE_PIN R22 IOSTANDARD LVCMOS33} [get_ports {sdo_dq1}]
8 set_property -dict {PACKAGE_PIN P21 IOSTANDARD LVCMOS33} [get_ports {wpn_dq2}]
9 set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVCMOS33} [get_ports {hldn_dq3}]
H A Dconstr_xc7s_csga324.xdc1 set_property CFGBVS VCCO [current_design]
2 set_property CONFIG_VOLTAGE 3.3 [current_design]
3 set_property BITSTREAM.CONFIG.SPI_BUSWIDTH {4} [current_design]
5 set_property -dict {PACKAGE_PIN M13 IOSTANDARD LVCMOS33} [get_ports {csn}];
6 set_property -dict {PACKAGE_PIN K17 IOSTANDARD LVCMOS33} [get_ports {sdi_dq0}];
7 set_property -dict {PACKAGE_PIN K18 IOSTANDARD LVCMOS33} [get_ports {sdo_dq1}];
8 set_property -dict {PACKAGE_PIN L14 IOSTANDARD LVCMOS33} [get_ports {wpn_dq2}];
9 set_property -dict {PACKAGE_PIN M15 IOSTANDARD LVCMOS33} [get_ports {hldn_dq3}];
/dports/multimedia/gstreamer1-plugins-rust/gst-plugins-rs-d0466b3eee114207f851b37cae0015c0e718f021/generic/threadshare/tests/
H A Djitterbuffer.rs54 src.set_property("is-live", &true).unwrap(); in jb_pipeline()
61 jb.set_property("context", &"jb_pipeline").unwrap(); in jb_pipeline()
63 jb.set_property("latency", &LATENCY).unwrap(); in jb_pipeline()
69 sink.set_property("sync", &false).unwrap(); in jb_pipeline()
70 sink.set_property("async", &false).unwrap(); in jb_pipeline()
71 sink.set_property("emit-signals", &true).unwrap(); in jb_pipeline()
118 src.set_property("is-live", &true).unwrap(); in jb_ts_pipeline()
133 jb.set_property("latency", &LATENCY).unwrap(); in jb_ts_pipeline()
139 sink.set_property("sync", &false).unwrap(); in jb_ts_pipeline()
140 sink.set_property("async", &false).unwrap(); in jb_ts_pipeline()
[all …]
/dports/print/lilypond-devel/lilypond-2.23.5/lily/
H A Dpaper-column-engraver.cc51 set_property (command_column_, in finalize()
53 set_property (command_column_, in finalize()
63 set_property (command_column_, in finalize()
169 set_property (command_column_, perm_str.c_str (), perm); in handle_manual_breaks()
194 set_property (column, "measure-length", mlen.smobbed_copy ()); in process_music()
208 set_property (command_column_, "when", m); in stop_translation_timestep()
209 set_property (musical_column_, "when", m); in stop_translation_timestep()
219 set_property (command_column_, "rhythmic-location", where); in stop_translation_timestep()
220 set_property (musical_column_, "rhythmic-location", where); in stop_translation_timestep()
243 set_property (command_column_, "page-turn-permission", SCM_EOL); in stop_translation_timestep()
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/InterfaceLinkLibraries/
H A DCMakeLists.txt11 set_property(TARGET bar_shared APPEND PROPERTY INTERFACE_LINK_LIBRARIES foo_shared)
14 set_property(TARGET bar_shared APPEND PROPERTY INTERFACE_LINK_LIBRARIES $<LINK_ONLY:zot_shared>)
17 set_property(TARGET shared_test APPEND PROPERTY LINK_LIBRARIES bar_shared)
23 set_property(TARGET bar_static APPEND PROPERTY INTERFACE_LINK_LIBRARIES foo_static)
26 set_property(TARGET bar_static APPEND PROPERTY INTERFACE_LINK_LIBRARIES $<LINK_ONLY:zot_static>)
29 set_property(TARGET static_test APPEND PROPERTY LINK_LIBRARIES bar_static)
38 set_property(TARGET bar_shared_private APPEND PROPERTY LINK_LIBRARIES bang_shared_private)
39 set_property(TARGET bar_shared_private APPEND PROPERTY INTERFACE_LINK_LIBRARIES foo_shared_private)
45 set_property(TARGET shared_private_test APPEND PROPERTY LINK_LIBRARIES bar_shared_private)
54 set_property(TARGET bar_static_private APPEND PROPERTY LINK_LIBRARIES bang_static_private)
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/UseSWIG/SwigSrcOUTPUT_DIR/
H A DCMakeLists.txt25 set_property (SOURCE foo.i PROPERTY COMPILE_OPTIONS -namespace Foo)
26 set_property (SOURCE foo.i PROPERTY OUTPUT_DIR "${CMAKE_CURRENT_BINARY_DIR}/Foo")
27 set_property (SOURCE foo.i PROPERTY CPLUSPLUS ON)
29 set_property (SOURCE bar.i PROPERTY COMPILE_OPTIONS -namespace Bar)
30 set_property (SOURCE bar.i PROPERTY OUTPUT_DIR "${CMAKE_CURRENT_BINARY_DIR}/Bar")
31 set_property (SOURCE bar.i PROPERTY CPLUSPLUS ON)
37 set_property(TARGET outdir_test PROPERTY USE_TARGET_INCLUDE_DIRECTORIES ON)
46 set_property (SOURCE foo.i PROPERTY OUTPUT_DIR "${CMAKE_CURRENT_BINARY_DIR}/FooSupport")
47 set_property (SOURCE foo.i PROPERTY OUTFILE_DIR "${CMAKE_CURRENT_BINARY_DIR}/FooFile")
50 set_property (SOURCE bar.i PROPERTY OUTFILE_DIR "${CMAKE_CURRENT_BINARY_DIR}/BarFile")
[all …]
/dports/print/lilypond/lilypond-2.22.1/lily/
H A Dtiming-translator.cc65 set_property (context (), "currentBarNumber", barnumber); in initialize()
66 set_property (context (), "internalBarNumber", barnumber); in initialize()
75 set_property (context (), "timeSignatureFraction", timeSignatureFraction); in initialize()
86 set_property (context (), "measureLength", measureLength); in initialize()
87 set_property (context (), "measurePosition", now_mom ().smobbed_copy ()); in initialize()
103 set_property (context (), "beamExceptions", beamExceptions); in initialize()
113 set_property (context (), "baseMoment", baseMoment); in initialize()
123 set_property (context (), "beatStructure", beatStructure); in initialize()
125 set_property (context (), "beamHalfMeasure", in initialize()
128 set_property (context (), "autoBeaming", in initialize()
[all …]
/dports/www/dooble/dooble-2021.12.05/Source/
H A Ddooble_charts.cc1006 m_property_editor->set_property in open()
1010 m_property_editor->set_property in open()
1013 m_property_editor->set_property in open()
1017 m_property_editor->set_property in open()
1020 m_property_editor->set_property in open()
1026 m_property_editor->set_property in open()
1029 m_property_editor->set_property in open()
1033 m_property_editor->set_property in open()
1036 m_property_editor->set_property in open()
1039 m_property_editor->set_property in open()
[all …]
/dports/net-p2p/deluge-cli/deluge-2.0.3/deluge/ui/gtk3/
H A Dtorrentview_data_funcs.py125 cell.set_property('value', value)
141 cell.set_property('value', value)
153 cell.set_property('text', '')
164 cell.set_property(
168 cell.set_property('text', '')
191 cell.set_property(
195 cell.set_property('text', '')
233 cell.set_property('text', time_str)
243 cell.set_property(
269 cell.set_property('text', date_str)
[all …]
/dports/www/guacamole-client/guacamole-client-1.3.0/guacamole-docker/bin/
H A Dstart.sh48 set_property() { function
82 set_property "$NAME" "$VALUE"
156 set_property "mysql-username" "$MYSQL_USER"
165 set_property "mysql-password" "$MYSQL_PASSWORD"
174 set_property "mysql-database" "$MYSQL_DATABASE"
181 set_property "mysql-hostname" "$MYSQL_HOSTNAME"
182 set_property "mysql-port" "$MYSQL_PORT"
309 set_property "postgresql-username" "$POSTGRES_USER"
335 set_property "postgresql-port" "$POSTGRES_PORT"
766 set_property "guacd-hostname" "$GUACD_HOSTNAME"
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/net/data/verify_certificate_chain_unittest/many-names/
H A Dgenerate-chains.py19 constraints.set_property('excluded;IP.%i' % (i + 1),
24 dirname.set_property('commonName', '"x%i' % i)
39 constraints.set_property('permitted;IP.%i' % (i + 1),
44 dirname.set_property('commonName', '"t%i' % i)
47 constraints.set_property('permitted;URI.%i' % (i + 1),
52 cert.get_extensions().set_property('subjectAltName', '@san_info')
55 sans.set_property('DNS.%i' % (i + 1), 't%i.test' % i)
59 sans.set_property('IP.%i' % (i + 1), '10.%i.%i.%i' % (a, b, c))
63 dirname.set_property('commonName', '"t%i' % i)
64 sans.set_property('dirName.%i' % (i + 1), section_name)
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/ExportImport/Export/
H A DCMakeLists.txt11 set_property(TARGET testExe1 PROPERTY VERSION 4)
34 set_property(TARGET testLib1 PROPERTY MY_FILES
52 set_property(TARGET testLib3 PROPERTY VERSION 1.2)
53 set_property(TARGET testLib3 PROPERTY SOVERSION 3)
65 set_property(TARGET testLib4 PROPERTY FRAMEWORK 1)
252 set_property(TARGET testSharedLibRequired
270 set_property(TARGET testSharedLibRequired
274 set_property(TARGET testSharedLibRequired
278 set_property(TARGET testSharedLibRequired
282 set_property(TARGET testSharedLibRequired
[all …]
/dports/devel/cmake-gui/cmake-3.22.1/Tests/RunCMake/InterfaceLibrary/
H A DIMPORTED_LIBNAME-non-iface.cmake2 set_property(TARGET MyCustom PROPERTY IMPORTED_LIBNAME item1)
3 set_property(TARGET MyCustom APPEND PROPERTY IMPORTED_LIBNAME item2)
4 set_property(TARGET MyCustom PROPERTY IMPORTED_LIBNAME_DEBUG item1)
5 set_property(TARGET MyCustom APPEND PROPERTY IMPORTED_LIBNAME_DEBUG item2)
8 set_property(TARGET MyStatic PROPERTY IMPORTED_LIBNAME item1)
11 set_property(TARGET MyShared PROPERTY IMPORTED_LIBNAME item1)
14 set_property(TARGET MyModule PROPERTY IMPORTED_LIBNAME item1)
17 set_property(TARGET MyExe PROPERTY IMPORTED_LIBNAME item1)
/dports/devel/cmake-doc/cmake-3.22.1/Tests/RunCMake/InterfaceLibrary/
H A DIMPORTED_LIBNAME-non-iface.cmake2 set_property(TARGET MyCustom PROPERTY IMPORTED_LIBNAME item1)
3 set_property(TARGET MyCustom APPEND PROPERTY IMPORTED_LIBNAME item2)
4 set_property(TARGET MyCustom PROPERTY IMPORTED_LIBNAME_DEBUG item1)
5 set_property(TARGET MyCustom APPEND PROPERTY IMPORTED_LIBNAME_DEBUG item2)
8 set_property(TARGET MyStatic PROPERTY IMPORTED_LIBNAME item1)
11 set_property(TARGET MyShared PROPERTY IMPORTED_LIBNAME item1)
14 set_property(TARGET MyModule PROPERTY IMPORTED_LIBNAME item1)
17 set_property(TARGET MyExe PROPERTY IMPORTED_LIBNAME item1)
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/InterfaceLibrary/
H A DIMPORTED_LIBNAME-non-iface.cmake2 set_property(TARGET MyCustom PROPERTY IMPORTED_LIBNAME item1)
3 set_property(TARGET MyCustom APPEND PROPERTY IMPORTED_LIBNAME item2)
4 set_property(TARGET MyCustom PROPERTY IMPORTED_LIBNAME_DEBUG item1)
5 set_property(TARGET MyCustom APPEND PROPERTY IMPORTED_LIBNAME_DEBUG item2)
8 set_property(TARGET MyStatic PROPERTY IMPORTED_LIBNAME item1)
11 set_property(TARGET MyShared PROPERTY IMPORTED_LIBNAME item1)
14 set_property(TARGET MyModule PROPERTY IMPORTED_LIBNAME item1)
17 set_property(TARGET MyExe PROPERTY IMPORTED_LIBNAME item1)
/dports/www/eolie/eolie-0.9.101/eolie/
H A Dpopover_uri_content.py57 item.set_property("title", title)
58 item.set_property("uri", uri)
71 item.set_property("id", tag_id)
72 item.set_property("type", Type.TAG)
73 item.set_property("title", title)
103 item.set_property("title", title)
104 item.set_property("uri", uri)
105 item.set_property("atime", atime)
183 item.set_property("id", rowid)
185 item.set_property("title", title)
[all …]
H A Dwebview.py86 settings.set_property(key, value)
432 settings.set_property("enable-java",
434 settings.set_property("enable-plugins",
436 settings.set_property("minimum-font-size",
448 settings.set_property("serif-font-family",
473 settings.set_property(
477 settings.set_property("enable-webgl", True)
483 settings.set_property(
498 settings.set_property(
501 settings.set_property(
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/IncludeDirectories/TargetIncludeDirectories/
H A DCMakeLists.txt32 set_property(TARGET TargetIncludeDirectories APPEND PROPERTY
48 set_property(TARGET lib1 APPEND PROPERTY INCLUDE_DIRECTORIES "${CMAKE_CURRENT_BINARY_DIR}/fee")
49 set_property(TARGET lib1 APPEND PROPERTY INTERFACE_INCLUDE_DIRECTORIES "${CMAKE_CURRENT_BINARY_DIR}…
73 set_property(TARGET somelib::withcolons PROPERTY IMPORTED_LOCATION "${CMAKE_CURRENT_BINARY_DIR}/tar…
76 set_property(TARGET TargetIncludeDirectories
85 set_property(TARGET TargetIncludeDirectories
105 set_property(TARGET libothergood APPEND PROPERTY
111 set_property(TARGET libgood APPEND PROPERTY
118 set_property(TARGET libbad APPEND PROPERTY
167 set_property(TARGET TargetIncludeDirectories
[all …]
/dports/graphics/gegl/gegl-0.4.34/examples/
H A Dsimple-graph.py12 ptn.set_property("cache-policy", Gegl.CachePolicy.NEVER)
21 src.set_property("path", "data/surfer.png")
24 crop.set_property("x", 256)
25 crop.set_property("y", 0)
26 crop.set_property("width", 256)
27 crop.set_property("height", 256)
30 buffer_src.set_property("buffer",background_buffer)
35 dst.set_property("path", "cropped.png")
/dports/graphics/gegl/gegl-0.4.34/tests/python/
H A Dtest-gegl-node.py55 node.set_property("operation", "gegl:translate")
64 node.set_property("x", 10)
67 node.set_property("x", -10)
73 node.set_property("sampler", "linear")
76 node.set_property("operation", "gegl:nop")
79 node.set_property("operation", "gegl:translate")
95 crop_node.set_property("x", crop_rect.x)
96 crop_node.set_property("y", crop_rect.y)
97 crop_node.set_property("width", crop_rect.width)
98 crop_node.set_property("height", crop_rect.height)
[all …]
/dports/science/ascent/ascent-0.7.1-66-gbcf2742a/src/config/
H A Dascent_setup_targets.cmake17 set_property(TARGET ascent::ascent
21 set_property(TARGET ascent::ascent
25 set_property(TARGET ascent::ascent
31 set_property(TARGET ascent::ascent
36 set_property(TARGET ascent::ascent
40 set_property(TARGET ascent::ascent
46 set_property(TARGET ascent::ascent
56 set_property(TARGET ascent::ascent_mpi
60 set_property(TARGET ascent::ascent_mpi
64 set_property(TARGET ascent::ascent_mpi
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/ExternalProjectLocal/
H A DCMakeLists.txt13 set_property(GLOBAL PROPERTY USE_FOLDERS ON)
15 set_property(GLOBAL PROPERTY USE_FOLDERS OFF)
18 set_property(GLOBAL PROPERTY PREDEFINED_TARGETS_FOLDER
23 set_property(DIRECTORY PROPERTY EP_BASE ${base})
37 set_property(TARGET ${proj} PROPERTY FOLDER "Local")
49 set_property(TARGET ${proj} PROPERTY FOLDER "Local")
61 set_property(TARGET ${proj} PROPERTY FOLDER "Local")
73 set_property(TARGET ${proj} PROPERTY FOLDER "Local")
201 set_property(TEST TutorialStep5-Local-BuildTreeTest
206 set_property(TEST TutorialStep1-LocalTAR-BuildTreeTest
[all …]

12345678910>>...441