Home
last modified time | relevance | path

Searched refs:set_property (Results 76 – 100 of 12796) sorted by relevance

12345678910>>...512

/dports/security/openconnect-gui/openconnect-gui-v1.5.3/CMake/Includes/
H A DProjectExternals_openconnect.cmake73 set_property(TARGET openconnect::app PROPERTY IMPORTED_LOCATION ${CMAKE_BINARY_DIR}/external/lib/op…
76 set_property(TARGET openconnect::gmp PROPERTY IMPORTED_LOCATION ${CMAKE_BINARY_DIR}/external/lib/li…
77 set_property(TARGET openconnect::gmp PROPERTY IMPORTED_IMPLIB ${CMAKE_BINARY_DIR}/external/lib/libg…
80 set_property(TARGET openconnect::gnutls PROPERTY IMPORTED_LOCATION ${CMAKE_BINARY_DIR}/external/lib…
81 set_property(TARGET openconnect::gnutls PROPERTY IMPORTED_IMPLIB ${CMAKE_BINARY_DIR}/external/lib/l…
85 set_property(TARGET openconnect::hogweed PROPERTY IMPORTED_IMPLIB ${CMAKE_BINARY_DIR}/external/lib/…
88 set_property(TARGET openconnect::nettle PROPERTY IMPORTED_LOCATION ${CMAKE_BINARY_DIR}/external/lib…
89 set_property(TARGET openconnect::nettle PROPERTY IMPORTED_IMPLIB ${CMAKE_BINARY_DIR}/external/lib/l…
101 set_property(TARGET openconnect::stoken PROPERTY IMPORTED_IMPLIB ${CMAKE_BINARY_DIR}/external/lib/l…
104 set_property(TARGET openconnect::xml2 PROPERTY IMPORTED_LOCATION ${CMAKE_BINARY_DIR}/external/lib/l…
[all …]
/dports/audio/supercollider/SuperCollider-3.11.0-Source/external_libraries/link/
H A DAbletonLinkConfig.cmake6 set_property(TARGET Ableton::Link APPEND PROPERTY
12 set_property(TARGET Ableton::Link APPEND PROPERTY
18 set_property(TARGET Ableton::Link APPEND PROPERTY
25 set_property(TARGET Ableton::Link APPEND PROPERTY
30 set_property(TARGET Ableton::Link APPEND PROPERTY
34 set_property(TARGET Ableton::Link APPEND PROPERTY
39 set_property(TARGET Ableton::Link APPEND PROPERTY
46 set_property(TARGET Ableton::Link APPEND PROPERTY
51 set_property(TARGET Ableton::Link APPEND PROPERTY
/dports/emulators/qemu-powernv/qemu-powernv-3.0.50/roms/openbios/drivers/
H A Dmacio.c100 set_property(dnode, "reg", (char *)&props, sizeof(props)); in macio_nvram_init()
101 set_property(dnode, "device_type", "nvram", 6); in macio_nvram_init()
102 NEWWORLD(set_property(dnode, "compatible", "nvram,flash", 12)); in macio_nvram_init()
110 set_property(aliases, "nvram", buf, strlen(buf) + 1); in macio_nvram_init()
178 set_property(dnode, "device_type", "open-pic", 9); in openpic_init()
180 set_property(dnode, "built-in", "", 0); in openpic_init()
186 set_property(dnode, "interrupt-controller", "", 0); in openpic_init()
278 set_property(dnode, "compatible", "uni-north", 10); in ob_unin_init()
297 set_property(ph, "compatible", "mac-io-gpio", 12); in ob_macio_gpio_initialize()
300 set_property(ph, "reg", (char *)&props, sizeof(props)); in ob_macio_gpio_initialize()
[all …]
/dports/multimedia/gstreamer1-plugins-rust/gst-plugins-rs-d0466b3eee114207f851b37cae0015c0e718f021/generic/threadshare/tests/
H A Dpipeline.rs81 sink.set_property("sync", &false).unwrap(); in multiple_contexts_queue()
82 sink.set_property("async", &false).unwrap(); in multiple_contexts_queue()
228 .set_property( in multiple_contexts_proxy()
240 sink.set_property("sync", &false).unwrap(); in multiple_contexts_proxy()
241 sink.set_property("async", &false).unwrap(); in multiple_contexts_proxy()
354 src.set_property("caps", &caps).unwrap(); in eos()
356 src.set_property("context", &CONTEXT).unwrap(); in eos()
366 appsink.set_property("sync", &false).unwrap(); in eos()
367 appsink.set_property("async", &false).unwrap(); in eos()
492 src.set_property("caps", &caps).unwrap(); in premature_shutdown()
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/RuntimePath/
H A DCMakeLists.txt6 set_property(TARGET foo1 PROPERTY OUTPUT_NAME foo)
7 set_property(TARGET foo1 PROPERTY LIBRARY_OUTPUT_DIRECTORY A)
10 set_property(TARGET bar1 PROPERTY OUTPUT_NAME bar)
11 set_property(TARGET bar1 PROPERTY VERSION 1)
12 set_property(TARGET bar1 PROPERTY LIBRARY_OUTPUT_DIRECTORY B)
21 set_property(TARGET foo2 PROPERTY OUTPUT_NAME foo)
22 set_property(TARGET foo2 PROPERTY LIBRARY_OUTPUT_DIRECTORY B)
30 set_property(TARGET bar2 PROPERTY OUTPUT_NAME bar)
31 set_property(TARGET bar2 PROPERTY LIBRARY_OUTPUT_DIRECTORY A)
37 set_property(TARGET bar1_no_rpath PROPERTY LIBRARY_OUTPUT_DIRECTORY B)
[all …]
/dports/devel/cmake-gui/cmake-3.22.1/Tests/RunCMake/CMP0118/
H A DCMP0118-Common-Test3b.cmake4 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
8 set_property(SOURCE "Generated_with_full_path2.txt"
12 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
21 set_property(SOURCE "Generated_with_relative_path2.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
34 set_property(SOURCE "Generated_with_full_source_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test3.cmake30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
34 set_property(SOURCE "Generated_with_full_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
43 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
47 set_property(SOURCE "Generated_with_relative_path2.txt"
51 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
56 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
60 set_property(SOURCE "Generated_with_full_source_path2.txt"
64 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test4.cmake30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
34 set_property(SOURCE "Generated_with_full_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
43 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
47 set_property(SOURCE "Generated_with_relative_path2.txt"
51 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
56 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
60 set_property(SOURCE "Generated_with_full_source_path2.txt"
64 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test4b.cmake4 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
8 set_property(SOURCE "Generated_with_full_path2.txt"
12 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
21 set_property(SOURCE "Generated_with_relative_path2.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
34 set_property(SOURCE "Generated_with_full_source_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/CMP0118/
H A DCMP0118-Common-Test3.cmake30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
34 set_property(SOURCE "Generated_with_full_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
43 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
47 set_property(SOURCE "Generated_with_relative_path2.txt"
51 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
56 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
60 set_property(SOURCE "Generated_with_full_source_path2.txt"
64 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test4.cmake30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
34 set_property(SOURCE "Generated_with_full_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
43 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
47 set_property(SOURCE "Generated_with_relative_path2.txt"
51 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
56 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
60 set_property(SOURCE "Generated_with_full_source_path2.txt"
64 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test4b.cmake4 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
8 set_property(SOURCE "Generated_with_full_path2.txt"
12 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
21 set_property(SOURCE "Generated_with_relative_path2.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
34 set_property(SOURCE "Generated_with_full_source_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test3b.cmake4 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
8 set_property(SOURCE "Generated_with_full_path2.txt"
12 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
21 set_property(SOURCE "Generated_with_relative_path2.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
34 set_property(SOURCE "Generated_with_full_source_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
/dports/devel/cmake-doc/cmake-3.22.1/Tests/RunCMake/CMP0118/
H A DCMP0118-Common-Test3.cmake30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
34 set_property(SOURCE "Generated_with_full_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
43 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
47 set_property(SOURCE "Generated_with_relative_path2.txt"
51 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
56 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
60 set_property(SOURCE "Generated_with_full_source_path2.txt"
64 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test4.cmake30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
34 set_property(SOURCE "Generated_with_full_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
43 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
47 set_property(SOURCE "Generated_with_relative_path2.txt"
51 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
56 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
60 set_property(SOURCE "Generated_with_full_source_path2.txt"
64 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test3b.cmake4 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
8 set_property(SOURCE "Generated_with_full_path2.txt"
12 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
21 set_property(SOURCE "Generated_with_relative_path2.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
34 set_property(SOURCE "Generated_with_full_source_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
H A DCMP0118-Common-Test4b.cmake4 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_path1.txt"
8 set_property(SOURCE "Generated_with_full_path2.txt"
12 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_path3.txt"
17 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_relative_path1.txt"
21 set_property(SOURCE "Generated_with_relative_path2.txt"
25 set_property(SOURCE "${CMAKE_CURRENT_SOURCE_DIR}/Generated_with_relative_path3.txt"
30 set_property(SOURCE "${CMAKE_CURRENT_BINARY_DIR}/Generated_with_full_source_path1.txt"
34 set_property(SOURCE "Generated_with_full_source_path2.txt"
38 set_property(SOURCE ${CMAKE_CURRENT_SOURCE_DIR}/"Generated_with_full_source_path3.txt"
/dports/print/lilypond-devel/lilypond-2.23.5/lily/
H A Dkey-engraver.cc79 set_property (item_, "c0-position", in create_key()
108 set_property (cancellation_, "alteration-alist", restore); in create_key()
109 set_property (cancellation_, "c0-position", in create_key()
114 set_property (item_, "alteration-alist", scm_reverse (key)); in create_key()
120 set_property (item_, "break-visibility", visibility); in create_key()
121 set_property (item_, "non-default", SCM_BOOL_T); in create_key()
202 set_property (context (), "keyAlterations", scm_reverse_x (accs, SCM_EOL)); in read_event()
203 set_property (context (), "tonic", in read_event()
210 set_property (context (), "keyAlterations", SCM_EOL); in initialize()
211 set_property (context (), "lastKeyAlterations", SCM_EOL); in initialize()
[all …]
/dports/print/lilypond/lilypond-2.22.1/lily/
H A Dkey-engraver.cc79 set_property (item_, "c0-position", in create_key()
108 set_property (cancellation_, "alteration-alist", restore); in create_key()
109 set_property (cancellation_, "c0-position", in create_key()
114 set_property (item_, "alteration-alist", scm_reverse (key)); in create_key()
120 set_property (item_, "break-visibility", visibility); in create_key()
121 set_property (item_, "non-default", SCM_BOOL_T); in create_key()
202 set_property (context (), "keyAlterations", scm_reverse_x (accs, SCM_EOL)); in read_event()
203 set_property (context (), "tonic", in read_event()
210 set_property (context (), "keyAlterations", SCM_EOL); in initialize()
211 set_property (context (), "lastKeyAlterations", SCM_EOL); in initialize()
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/set_property/
H A DSOURCE_FILE-stderr.txt1 ^CMake Error at SOURCE_FILE.cmake:1 \(set_property\):
2 set_property called with incorrect number of arguments no value provided to
7 CMake Error at SOURCE_FILE.cmake:2 \(set_property\):
8 set_property given non-existent DIRECTORY non_existing_dir
12 CMake Error at SOURCE_FILE.cmake:3 \(set_property\):
13 set_property called with incorrect number of arguments no value provided to
18 CMake Error at SOURCE_FILE.cmake:4 \(set_property\):
19 set_property given non-existent target for TARGET_DIRECTORY
/dports/audio/rhythmbox/rhythmbox-3.4.4/plugins/rbzeitgeist/
H A Drbzeitgeist.py69 event.set_property("interpretation", "Source Registration")
70 event.set_property("manifestation", Zeitgeist.USER_ACTIVITY)
71 event.set_property("actor", "application://rhythmbox.desktop")
157 subject.set_property("uri", song["location"])
158 subject.set_property("interpretation", str(Zeitgeist.AUDIO))
160 subject.set_property("origin", song["location"].rpartition("/")[0])
161 subject.set_property("mimetype", uri_mimetype)
165 event.set_property("timestamp", int(time.time()*1000))
166 event.set_property("interpretation", str(event_type))
167 event.set_property("manifestation", str(manifest))
[all …]
/dports/devel/cmake/cmake-3.22.1/Tests/CTestLimitDashJ/
H A DCMakeLists.txt30 set_property(TEST t${n} PROPERTY FAIL_REGULAR_EXPRESSION "(c='[5-9]'|c='[1-9][0-9]+')")
33 set_property(TEST t1 PROPERTY RUN_SERIAL 1)
34 set_property(TEST t1 PROPERTY PROCESSORS 4)
36 set_property(TEST t51 PROPERTY RUN_SERIAL 1)
37 set_property(TEST t51 PROPERTY PROCESSORS 4)
40 set_property(TEST t${n} PROPERTY DEPENDS t1)
42 set_property(TEST t1 PROPERTY DEPENDS t51)
43 set_property(TEST t51 PROPERTY DEPENDS t100)
46 set_property(TEST t${n} PROPERTY COST 6)
49 set_property(TEST t${n} PROPERTY COST 3)
/dports/devel/cmake/cmake-3.22.1/Tests/Visibility/
H A DCMakeLists.txt7 set_property(TARGET hidden1 PROPERTY C_VISIBILITY_PRESET hidden)
10 set_property(TARGET hidden_object PROPERTY C_VISIBILITY_PRESET hidden)
11 set_property(TARGET hidden_object PROPERTY POSITION_INDEPENDENT_CODE ON)
14 set_property(TARGET hidden_static PROPERTY C_VISIBILITY_PRESET hidden)
15 set_property(TARGET hidden_static PROPERTY POSITION_INDEPENDENT_CODE ON)
37 set_property(TARGET inlines_hidden1 PROPERTY VISIBILITY_INLINES_HIDDEN ON)
41 set_property(TARGET inlines_hidden_object PROPERTY VISIBILITY_INLINES_HIDDEN ON)
42 set_property(TARGET inlines_hidden_object PROPERTY POSITION_INDEPENDENT_CODE ON)
46 set_property(TARGET inlines_hidden_static PROPERTY VISIBILITY_INLINES_HIDDEN ON)
47 set_property(TARGET inlines_hidden_static PROPERTY POSITION_INDEPENDENT_CODE ON)
/dports/graphics/gegl/gegl-0.4.34/examples/
H A Dlgitest.lua12 src:set_property("path", GObject.Value(GObject.Type.STRING, "data/surfer.png"))
15 crop:set_property("x", GObject.Value(GObject.Type.INT, 0))
16 crop:set_property("y", GObject.Value(GObject.Type.INT, 0))
17 crop:set_property("width", GObject.Value(GObject.Type.INT, 300))
18 crop:set_property("height", GObject.Value(GObject.Type.INT, 122))
22 dst:set_property("path", GObject.Value(GObject.Type.STRING, "lgi.png"))
27 text:set_property("string", GObject.Value(GObject.Type.STRING, "luajit + lgi + GEGL test"))
28 text:set_property("color", GObject.Value(GObject.Type.OBJECT, white))
/dports/emulators/qemu60/qemu-6.0.0/roms/openbios/drivers/
H A Descc.c475 set_property(dnode, "device_type", "serial", in escc_add_channel()
479 set_property(dnode, "compatible", buf, 9); in escc_add_channel()
500 OLDWORLD(set_property(dnode, "AAPL,address", in escc_add_channel()
504 OLDWORLD(set_property(dnode, "AAPL,interrupts", in escc_add_channel()
513 NEWWORLD(set_property(dnode, "interrupts", in escc_add_channel()
541 set_property(dnode, "device_type", "escc", in escc_init()
543 set_property(dnode, "compatible", "escc\0CHRP,es0", 14); in escc_init()
544 set_property(dnode, "ranges", "", 0); in escc_init()
566 set_property(dnode, "device_type", "escc-legacy", in escc_init()
568 set_property(dnode, "compatible", "chrp,es1", 9); in escc_init()
[all …]

12345678910>>...512