Home
last modified time | relevance | path

Searched refs:simu (Results 51 – 75 of 181) sorted by relevance

12345678

/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/net/wireless/realtek/rtl8xxxu/
H A Drtl8xxxu_8192e.c1201 bool simu; in rtl8192eu_phy_iq_calibrate() local
1213 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8192eu_phy_iq_calibrate()
1215 if (simu) { in rtl8192eu_phy_iq_calibrate()
1222 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8192eu_phy_iq_calibrate()
1224 if (simu) { in rtl8192eu_phy_iq_calibrate()
1229 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8192eu_phy_iq_calibrate()
1231 if (simu) in rtl8192eu_phy_iq_calibrate()
H A Drtl8xxxu_8723b.c1096 bool simu; in rtl8723bu_phy_iq_calibrate() local
1112 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1114 if (simu) { in rtl8723bu_phy_iq_calibrate()
1121 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1123 if (simu) { in rtl8723bu_phy_iq_calibrate()
1128 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1130 if (simu) { in rtl8723bu_phy_iq_calibrate()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/net/wireless/realtek/rtl8xxxu/
H A Drtl8xxxu_8192e.c1201 bool simu; in rtl8192eu_phy_iq_calibrate() local
1213 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8192eu_phy_iq_calibrate()
1215 if (simu) { in rtl8192eu_phy_iq_calibrate()
1222 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8192eu_phy_iq_calibrate()
1224 if (simu) { in rtl8192eu_phy_iq_calibrate()
1229 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8192eu_phy_iq_calibrate()
1231 if (simu) in rtl8192eu_phy_iq_calibrate()
H A Drtl8xxxu_8723b.c1096 bool simu; in rtl8723bu_phy_iq_calibrate() local
1112 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1114 if (simu) { in rtl8723bu_phy_iq_calibrate()
1121 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1123 if (simu) { in rtl8723bu_phy_iq_calibrate()
1128 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1130 if (simu) { in rtl8723bu_phy_iq_calibrate()
/dports/biology/gemma/GEMMA-0.98.3/test/
H A Dlengthy_test_suite.sh32 -p $datadir/HLC.simu.pheno.txt \
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue522/
H A Dshifter_tb.vhdl8 architecture simu of Shifter_tb is architecture
128 end simu;
/dports/games/torcs/torcs-1.3.7/src/modules/simu/simuv3/
H A Dsimuv3.dsp62 PostBuild_Cmds=copy $(TargetDir)\*.dll $(WkspDir)\runtime\modules\simu
95 PostBuild_Cmds=copy $(TargetDir)\*.dll $(WkspDir)\runtimed\modules\simu
141 SOURCE=.\simu.cpp
/dports/games/torcs/torcs-1.3.7/src/modules/simu/simuv2/
H A Dsimuv2.dsp63 PostBuild_Cmds=copy $(TargetDir)\*.dll $(WkspDir)\runtime\modules\simu
97 PostBuild_Cmds=copy $(TargetDir)\*.dll $(WkspDir)\runtimed\modules\simu
143 SOURCE=.\simu.cpp
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/net/wireless/realtek/rtl8xxxu/
H A Drtl8xxxu_8723b.c1096 bool simu; in rtl8723bu_phy_iq_calibrate() local
1112 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1114 if (simu) { in rtl8723bu_phy_iq_calibrate()
1121 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1123 if (simu) { in rtl8723bu_phy_iq_calibrate()
1128 simu = rtl8xxxu_gen2_simularity_compare(priv, in rtl8723bu_phy_iq_calibrate()
1130 if (simu) { in rtl8723bu_phy_iq_calibrate()
/dports/biology/phyml/phyml-3.3.20200621/src/
H A DMakefile_mc.am22 help.c simu.c eigen.c pars.c alrt.c interface.c cl.c spr.c mc.c m4.c draw.c rates.c mcmc.c numeric.c
/dports/math/openturns/openturns-1.18/python/doc/developer_guide/validation/optimal_lhs/
H A Doptimal_lhs.rst80 …le are implemented and results obtained using Monte Carlo after :math:`N_{simu}=10000` simulations…
91 We use :math:`N_{simu}=10000` simulations in order to get the optimal design (designs are not cente…
92 As shown here, :math:`N_{simu}=10000` iterations give a good solution for the small case;
/dports/games/torcs/torcs-1.3.7/
H A Dsetup_win32_generic.bat23 if exist .\src\interfaces\simu.h copy .\src\interfaces\simu.h .\export\include\simu.h
80 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\3D\Basic.h copy .\src\modules\simu\simuv2\SOLI…
81 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\3D\Matrix.h copy .\src\modules\simu\simuv2\SOL…
82 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\3D\Point.h copy .\src\modules\simu\simuv2\SOLI…
83 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\3D\Quaternion.h copy .\src\modules\simu\simuv2…
84 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\3D\Tuple3.h copy .\src\modules\simu\simuv2\SOL…
85 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\3D\Tuple4.h copy .\src\modules\simu\simuv2\SOL…
86 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\3D\Vector.h copy .\src\modules\simu\simuv2\SOL…
91 if exist .\src\modules\simu\simuv2\SOLID-2.0\include\SOLID\solid.h copy .\src\modules\simu\simuv2\S…
1066 call .\create_dir .\%RUNTIMEDIR%\modules\simu
[all …]
/dports/misc/visp/visp-3.4.0/doc/tutorial/image/
H A Dtutorial-simu-image.dox3 \page tutorial-simu-image Tutorial: Planar image projection
27 \image html img-simu-image-target-square.png Resulting projection of the planar image at a given ca…
/dports/games/stonesoup/crawl-0.27.1/crawl-ref/source/
H A Dfight.h31 bool simu = false);
H A Dattack.h94 bool simu; variable
/dports/math/ccmath/ccmath-2.2.1/simu/test/
H A DREADME1 This directory contains test code for the functions of the 'simu'
/dports/misc/visp/visp-3.4.0/doc/tutorial/visual-servo/
H A Dtutorial-simu-robot-pioneer.dox3 \page tutorial-simu-robot-pioneer Tutorial: Visual servo simulation on a pioneer-like unicycle robot
28 The code that does the simulation is provided in tutorial-simu-pioneer.cpp and given hereafter.
30 \include tutorial-simu-pioneer.cpp
223 …pSimulatorPioneer by vpSimulatorPioneerPan. The code is available in tutorial-simu-pioneer-pan.cpp.
/dports/cad/sumo/sumo-1.2.0/tools/contributed/lisum-gui/src/main/java/de/dlr/ts/lisum/gui/menus/
H A DMenuAdmin.java234 …String simu = ssimo.getConfigurationFile().getConfigurationFile().getAbsolutePath(); … in initFileMenu()
235 fileMenu.addLastOpen(simu); in initFileMenu()
/dports/science/siconos/siconos-4.4.0/docs/sphinx/getting_started/tutorial_python/
H A Ddiode_bridge.rst430 simu = sk.TimeStepping(DiodeBridge, td, osi, osnspb)
445 N = int((T - t0) / simu.timeStep()) + 1
458 while simu.hasNextEvent():
460 simu.computeOneStep()
461 data_plot[k, 0] = simu.nextTime()
469 simu.nextStep()
/dports/audio/guitarix-lv2/guitarix-0.43.1/tools/ampsim/DK/
H A Danalog.py6 import dk_simulator, models, circ, mk_netlist, dk_lib, simu, signals, generate_code
343 self.maptype, spl = simu.TableGenerator.write_files(Comp(), o, inst, h)
360 self.maptype, spl = simu.TableGenerator.write_files(Comp(), o, inst, h)
786 …dsp, ui = simu.generate_faust_module(plugindef, b, a, l, self.sim_filter, pre_filter, build_script…
797 …dsp, ui = simu.generate_simple_faust_module(plugindef, b, a, l, self.sim_filter, pre_filter, build…
808 …dsp, ui = simu.generate_faust_module(plugindef, b, a, l, self.sim_filter, pre_filter, build_script…
828simu.build_faust_module(plugindef, b, a, l, self.sim_filter, self.c_datatype, pre_filter, build_sc…
H A DREADME55 - in the DK directory, run "python simu.py", then select e.g. 11 (Transistor).
119 python simu.py --linearize WahWah --plot-spectrum --plot-variable=hotpotz
/dports/math/giacxcas/giac-1.6.0/src/
H A DMakefile.numworks.simulator6 OBJDIR=simu
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1137/
H A Dutestbench.vhdl12 architecture simu of testbench is architecture
H A Dtestbench.vhdl12 architecture simu of testbench is architecture
/dports/games/torcs/torcs-1.3.7/src/doc/
H A Darchitecture.md79 implementations, [simuv2](@ref src/modules/simu/simuv2) and simuv3, you can
100 The interface is specified in src/interfaces/simu.h. The major function is
104 default module is located in [src/modules/simu/simuv2](@ref src/modules/simu/simuv2).

12345678