Home
last modified time | relevance | path

Searched refs:ARBURST (Results 1 – 15 of 15) sorted by relevance

/dports/misc/tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/shell/
H A DXilinxShell.scala82 m_axi_gmem.ARBURST := shell.io.mem.ar.bits.burst
/dports/misc/py-tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/shell/
H A DXilinxShell.scala82 m_axi_gmem.ARBURST := shell.io.mem.ar.bits.burst
/dports/emulators/qemu42/qemu-4.2.1/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
449 ARBURST); in zdma_process_descr()
/dports/emulators/qemu/qemu-6.2.0/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
453 ARBURST);
/dports/emulators/qemu60/qemu-6.0.0/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
453 ARBURST); in zdma_process_descr()
/dports/emulators/qemu-powernv/qemu-powernv-3.0.50/hw/dma/
H A Dxlnx-zdma.c110 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
445 ARBURST); in zdma_process_descr()
/dports/emulators/qemu5/qemu-5.2.0/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
453 ARBURST); in zdma_process_descr()
/dports/emulators/qemu-utils/qemu-4.2.1/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
449 ARBURST); in zdma_process_descr()
/dports/emulators/qemu-guest-agent/qemu-5.0.1/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
444 ARBURST); in zdma_process_descr()
/dports/emulators/qemu-cheri/qemu-0a323821042c36e21ea80e58b9545dfc3b0cb8ef/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
447 ARBURST); in zdma_process_descr()
/dports/emulators/qemu-devel/qemu-de8ed1055c2ce18c95f597eb10df360dcb534f99/hw/dma/
H A Dxlnx-zdma.c114 FIELD(ZDMA_CH_DATA_ATTR, ARBURST, 26, 2)
453 ARBURST); in zdma_process_descr()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_axi4lite_bridge/
H A Daxi4_pkg.vhd48 ARBURST : std_logic_vector (1 downto 0); record
/dports/misc/tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala299 val ARBURST = Output(UInt(params.burstBits.W)) constant
/dports/misc/py-tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala299 val ARBURST = Output(UInt(params.burstBits.W)) constant
/dports/cad/yosys/yosys-yosys-0.12/techlibs/xilinx/
H A Dcells_xtra.v27692 input [1:0] ARBURST; port