Home
last modified time | relevance | path

Searched refs:ARUSER (Results 1 – 4 of 4) sorted by relevance

/dports/misc/tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/shell/
H A DXilinxShell.scala79 m_axi_gmem.ARUSER := shell.io.mem.ar.bits.user
/dports/misc/py-tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/shell/
H A DXilinxShell.scala79 m_axi_gmem.ARUSER := shell.io.mem.ar.bits.user
/dports/misc/tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala296 val ARUSER = Output(UInt(params.userBits.W)) constant
/dports/misc/py-tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala296 val ARUSER = Output(UInt(params.userBits.W)) constant