Searched refs:ARVALID (Results 1 – 12 of 12) sorted by relevance
25 signal ARVALID : std_logic; signal64 ARVALID => ARVALID,
52 ARVALID : out std_logic; port90 ARVALID <= '1';147 ARVALID <= '0';
39 ARVALID : std_logic; record85 ARVALID : std_logic; record126 ARVALID => '0',171 ARVALID : in std_logic; port in axi4_pkg.wb_axi4lite_bridge216 l.ARVALID := f.ARVALID;
42 ARVALID : in std_logic; port87 axi_in.ARVALID <= ARVALID;
89 elsif (axi4_slave_i.ARVALID = '1') then
75 m_axi_gmem.ARVALID := shell.io.mem.ar.valid111 shell.io.host.ar.valid := s_axi_control.ARVALID
257 val ARVALID = Input(Bool()) constant292 val ARVALID = Output(Bool()) constant
468 ARVALID => s00_axi_arvalid,
600 ARVALID => s00_axi_arvalid,
27698 input ARVALID; port