Home
last modified time | relevance | path

Searched refs:AXIReadData (Results 1 – 2 of 2) sorted by relevance

/dports/misc/tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala145 class AXIReadData(params: AXIParams) extends AXILiteReadData(params) { class
156 val r = Flipped(Decoupled(new AXIReadData(params)))
223 val r = Decoupled(new AXIReadData(params))
/dports/misc/py-tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala145 class AXIReadData(params: AXIParams) extends AXILiteReadData(params) { class
156 val r = Flipped(Decoupled(new AXIReadData(params)))
223 val r = Decoupled(new AXIReadData(params))