/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1069/ |
H A D | tdp_ram.vhdl | 13 COL_WIDTH : positive := 8 generic 19 byteen_a : in std_logic_vector(WIDTH_A/COL_WIDTH - 1 downto 0); 27 byteen_b : in std_logic_vector(WIDTH_B/COL_WIDTH - 1 downto 0); 54 constant COLS_A : positive := WIDTH_A / COL_WIDTH; 55 constant COLS_B : positive := WIDTH_B / COL_WIDTH; 68 assert WIDTH_A mod COL_WIDTH = 0 and 69 WIDTH_B mod COL_WIDTH = 0 and 81 data_write_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH); 85 reg_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH) <= 100 data_write_b((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH); [all …]
|
H A D | tdp_ram2.vhdl | 13 COL_WIDTH : positive := 8 generic 19 byteen_a : in std_logic_vector(WIDTH_A/COL_WIDTH - 1 downto 0); 27 byteen_b : in std_logic_vector(WIDTH_B/COL_WIDTH - 1 downto 0); 54 constant COLS_A : positive := WIDTH_A / COL_WIDTH; 55 constant COLS_B : positive := WIDTH_B / COL_WIDTH; 65 assert WIDTH_A mod COL_WIDTH = 0 and 66 WIDTH_B mod COL_WIDTH = 0 and 81 data_write_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH); 85 reg_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH) <= 97 data_write_b((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH); [all …]
|
H A D | tdp_ram_single.vhdl | 13 COL_WIDTH : positive := 8 generic 19 byteen_a : in std_logic_vector(WIDTH_A/COL_WIDTH - 1 downto 0); 46 constant COLS_A : positive := WIDTH_A / COL_WIDTH; 47 constant COLS_B : positive := WIDTH_B / COL_WIDTH; 54 type ram_t is array(0 to TOTAL_COLS - 1) of std_logic_vector(COL_WIDTH - 1 downto 0); 59 assert WIDTH_A mod COL_WIDTH = 0 and 60 WIDTH_B mod COL_WIDTH = 0 and 63 report "Both WIDTH_A and WIDTH_B have to be a power-of-two multiple of COL_WIDTH" 72 data_write_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH); 76 reg_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH) <=
|
H A D | tdp_ram_single2.vhdl | 13 COL_WIDTH : positive := 8 generic 19 byteen_a : in std_logic_vector(WIDTH_A/COL_WIDTH - 1 downto 0); 46 constant COLS_A : positive := WIDTH_A / COL_WIDTH; 52 type ram_t is array(0 to TOTAL_COLS - 1) of std_logic_vector(COL_WIDTH - 1 downto 0); 57 assert WIDTH_A mod COL_WIDTH = 0 and 59 report "WIDTH_A have to be a power-of-two multiple of COL_WIDTH" 68 data_write_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH); 72 reg_a((i+1) * COL_WIDTH - 1 downto i * COL_WIDTH) <=
|
H A D | tb_tdp_ram.vhdl | 14 constant COL_WIDTH : natural := 8; constant 19 signal byteen_a : std_logic_vector(WIDTH_A/COL_WIDTH - 1 downto 0); 26 signal byteen_b : std_logic_vector(WIDTH_B/COL_WIDTH - 1 downto 0); 37 COL_WIDTH => COL_WIDTH)
|
H A D | tb_tdp_ram2.vhdl | 14 constant COL_WIDTH : natural := 8; constant 19 signal byteen_a : std_logic_vector(WIDTH_A/COL_WIDTH - 1 downto 0); 26 signal byteen_b : std_logic_vector(WIDTH_B/COL_WIDTH - 1 downto 0); 37 COL_WIDTH => COL_WIDTH)
|
/dports/security/intel-ipsec-mb/intel-ipsec-mb-55179f0/perf/ |
H A D | ipsec_diff_tool.py | 38 COL_WIDTH = 14 variable 94 return (slope + " "*(COL_WIDTH-len(str(slope)))\ 96 + " "*(COL_WIDTH-len(str(intercept)))) 167 print("".join(j.ljust(COL_WIDTH) for j in headings)) 219 print("".join(j.ljust(COL_WIDTH) for j in headings)) 224 print (str(number) + " "*(COL_WIDTH-len(str(number)))\ 225 + "".join(j.ljust(COL_WIDTH) for j in data)\ 228 print (str(number) + " "*(COL_WIDTH-len(str(number)))\ 229 + "".join(j.ljust(COL_WIDTH) for j in data)\ 232 print (str(number) + " "*(COL_WIDTH-len(str(number)))\ [all …]
|
/dports/games/gnubg/gnubg-1.06.002/board3d/ |
H A D | graph.c | 37 #define COL_WIDTH 5.f macro 41 #define RES_WIDTH (2 * COL_WIDTH + MID_GAP + INTER_GAP) 119 glVertex2f(COL_WIDTH, 0.f); in DrawBar() 120 glVertex2f(COL_WIDTH, first); in DrawBar() 125 glVertex2f(COL_WIDTH, first); in DrawBar() 126 glVertex2f(COL_WIDTH, first + second); in DrawBar() 135 glVertex2f(COL_WIDTH, first + second); in DrawBar() 136 glVertex2f(COL_WIDTH, 0.f); in DrawBar() 141 glVertex2f(COL_WIDTH, first + second); in DrawBar() 170 …DrawColourBar(1, x + INTER_GAP / 2.0f + COL_WIDTH + MID_GAP, NUM_HEIGHT, values[1][0], values[1][1… in DrawBars() [all …]
|
/dports/games/flobopuyo/flobopuyo-0.20/ |
H A D | PuyoDoomMelt.c | 32 #define COL_WIDTH 8 macro 33 #define NUM_COLS SCREEN_WIDTH / COL_WIDTH + 1 123 start_x += COL_WIDTH; in _init_columns() 129 static SDL_Rect image_rect = {0, 0, COL_WIDTH, }; in _column_draw() 130 static SDL_Rect dest_rect = {0, 0, COL_WIDTH, SCREEN_HEIGHT}; in _column_draw()
|
/dports/math/vtk8/VTK-8.2.0/ThirdParty/vtkm/vtk-m/benchmarking/ |
H A D | BenchmarkCopySpeeds.cxx | 55 const size_t COL_WIDTH = 32; variable 94 out << "| " << std::setw(COL_WIDTH) << label << " | " << std::setw(COL_WIDTH) << data << " |" in PrintRow() 100 const std::string fillStr(COL_WIDTH, '-'); in PrintDivider()
|
/dports/security/testssl.sh/testssl.sh-3.0.6/utils/ |
H A D | heartbleed.bash | 24 COL_WIDTH=32 278 echo "$SOCKREPLY" | xxd -c$COL_WIDTH | head -10 292 echo "$SOCKREPLY" | xxd -c$COL_WIDTH | head -20
|
H A D | ccs-injection.bash | 26 COL_WIDTH=32 312 echo "$SOCKREPLY" | xxd -c$COL_WIDTH | head -10 333 echo "$SOCKREPLY" | xxd -c$COL_WIDTH | head -20
|
H A D | prototype.ssl2proto-check.bash | 15 COL_WIDTH=32
|
H A D | ticketbleed.bash | 28 COL_WIDTH=32
|
H A D | prototype.tls-protocol-checker.bash | 25 COL_WIDTH=32
|
/dports/www/grafana8/grafana-8.3.6/vendor/github.com/apache/arrow/cpp/src/parquet/ |
H A D | printer.cc | 46 #define COL_WIDTH 30 macro 143 static constexpr int bufsize = COL_WIDTH + 1; in DebugPrint() 164 snprintf(buffer, bufsize, "%-*s", COL_WIDTH, in DebugPrint() 179 scanner->PrintNext(stream, COL_WIDTH); in DebugPrint()
|
/dports/databases/arrow/apache-arrow-6.0.1/cpp/src/parquet/ |
H A D | printer.cc | 46 #define COL_WIDTH 30 macro 149 static constexpr int bufsize = COL_WIDTH + 1; in DebugPrint() 170 snprintf(buffer, bufsize, "%-*s", COL_WIDTH, in DebugPrint() 185 scanner->PrintNext(stream, COL_WIDTH); in DebugPrint()
|
/dports/security/vault/vault-1.8.2/vendor/github.com/apache/arrow/cpp/src/parquet/ |
H A D | printer.cc | 45 #define COL_WIDTH 30 macro 140 static constexpr int bufsize = COL_WIDTH + 1; in DebugPrint() 161 snprintf(buffer, bufsize, "%-*s", COL_WIDTH, in DebugPrint() 176 scanner->PrintNext(stream, COL_WIDTH); in DebugPrint()
|
/dports/archivers/zoo/zoo-2.10.1_4/ |
H A D | zoolist.c | 336 #define COL_WIDTH 16 macro 356 next_col = ((column + (COL_WIDTH - 1)) / COL_WIDTH) * COL_WIDTH; 358 next_col += COL_WIDTH;
|
/dports/x11/mlterm/mlterm-3.9.1/uitoolkit/console/ |
H A D | ui_window.c | 35 #define COL_WIDTH (win->disp->display->col_width) macro 84 left_c = (left + win->x) / COL_WIDTH; in scroll_region() 85 right_c = (right + win->x) / COL_WIDTH; in scroll_region() 102 int len = (dst_x - src_x) / COL_WIDTH; in scroll_region() 107 int len = (src_x - dst_x) / COL_WIDTH; in scroll_region() 241 (win->x + win->hmargin + x) / COL_WIDTH + 1); in draw_string() 323 (win->x + win->hmargin + dst_x) / COL_WIDTH + 1); in copy_area() 875 if (height < LINE_HEIGHT || width < COL_WIDTH) { in ui_window_fill_with() 906 for (w = 0; w < width; w += COL_WIDTH) { in ui_window_fill_with() 913 (y + height) / LINE_HEIGHT, (x + width) / COL_WIDTH); in ui_window_fill_with() [all …]
|
/dports/x11/tint/tint2-78313502d3b26c217f5583a23ef571bc9e0edc45/src/tint2conf/ |
H A D | theme_view.h | 12 COL_WIDTH, enumerator
|
H A D | theme_view.c | 73 gtk_tree_view_column_add_attribute(col, g_renderer, "width", COL_WIDTH); in create_view() 235 COL_WIDTH, in update_snapshot()
|
/dports/emulators/tilem/tilem-2.0/gui/ |
H A D | screenshot.c | 250 COL_WIDTH, enumerator 274 COL_WIDTH, &width, in size_combo_changed() 301 COL_WIDTH, &w, in size_spin_changed() 333 COL_WIDTH, sizes[i].width, in fill_size_combobox() 342 COL_WIDTH, 0, in fill_size_combobox()
|
/dports/devel/autogen/autogen-5.18.16/columns/ |
H A D | opts.h | 166 #define OPT_VALUE_COL_WIDTH (DESC(COL_WIDTH).optArg.argInt)
|
/dports/www/coppermine/cpg1.6.x-1.6.12/include/ |
H A D | themes.inc.php | 2651 $params = array('{COL_WIDTH}' => $column_width, 2671 $params = array('{COL_WIDTH}' => $column_width, 2746 $params = array('{COL_WIDTH}' => $column_width, 2765 $params = array('{COL_WIDTH}' => $column_width,
|