Home
last modified time | relevance | path

Searched refs:CONTROL_FPGA_LOADED (Results 1 – 2 of 2) sorted by relevance

/dports/misc/rump/buildrump.sh-b914579/src/sys/dev/pci/
H A Dczreg.h98 #define CONTROL_FPGA_LOADED CONTROL_GPI macro
H A Dcz.c518 && ((CZ_PLX_READ(cz, PLX_CONTROL) & CONTROL_FPGA_LOADED) == 0) in cz_load_firmware()