Home
last modified time | relevance | path

Searched refs:DECLFILENAME (Results 1 – 7 of 7) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_lint_declfilename_bad.out1 %Warning-DECLFILENAME: t/t_lint_declfilename.v:7:8: Filename 't_lint_declfilename' does not match M…
4 … ... For warning description see https://verilator.org/warn/DECLFILENAME?v=latest
5 … ... Use "/* verilator lint_off DECLFILENAME */" and lint_on around source to disable this message.
H A Dt_vlt_warn.vlt12 lint_off -rule DECLFILENAME -file "*/t_vlt_warn.v"
/dports/cad/verilator/verilator-4.216/src/
H A DV3Error.h84 DECLFILENAME, // Declaration doesn't match filename enumerator
217 || m_e == BLKSEQ || m_e == DEFPARAM || m_e == DECLFILENAME || m_e == EOFNEWLINE in styleError()
H A DV3LinkCells.cpp198 nodep->v3warn(DECLFILENAME, "Filename '" in visit()
/dports/cad/verilator/verilator-4.216/docs/guide/
H A Dexe_verilator.rst1303 already disabled). This is equivalent to ``-Wno-DECLFILENAME -Wno-DEFPARAM
1332 ``-Wwarn ASSIGNDLY -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-EOFNEWLINE
H A Dwarnings.rst385 .. option:: DECLFILENAME
/dports/cad/verilator/verilator-4.216/
H A DChanges366 * Fix false DECLFILENAME on black-boxed modules (#2430). [Philipp Wagner]
1857 * With --Wall, add DECLFILENAME warning on modules not matching filename.