Home
last modified time | relevance | path

Searched refs:FPGA_TEST (Results 1 – 3 of 3) sorted by relevance

/dports/misc/rump/buildrump.sh-b914579/src/sys/dev/pci/
H A Dczreg.h113 #define FPGA_TEST 0x28 /* test register */ macro
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dmb_pins.xdc30 set_property PACKAGE_PIN Y30 [get_ports {FPGA_TEST[0]}]
31 set_property PACKAGE_PIN AA30 [get_ports {FPGA_TEST[1]}]
32 set_property IOSTANDARD LVCMOS33 [get_ports {FPGA_TEST[*]}]
H A Dmb_timing.xdc72 FPGA_TEST[*]}]