Home
last modified time | relevance | path

Searched refs:Generators (Results 1 – 25 of 3008) sorted by relevance

12345678910>>...121

/dports/audio/sonic-visualiser/sonic-visualiser-4.0.1/misc/
H A Dladspa.cat37 ladspa:caps:Lorenz::Generators
44 ladspa:caps:Roessler::Generators
45 ladspa:caps:Sin::Generators
50 ladspa:caps:White::Generators
55 ladspa:cmt:analogue::Generators
98 ladspa:cmt:organ::Generators
100 ladspa:cmt:phasemod::Generators
103 ladspa:cmt:pink_sh::Generators
104 ladspa:cmt:sine_faaa::Generators
105 ladspa:cmt:sine_faac::Generators
[all …]
/dports/devel/cmake-gui/cmake-3.22.1/Help/manual/
H A Dcmake-generators.7.rst27 CMake Generators
39 .. _`Makefile Generators`: argument
41 Makefile Generators argument
55 .. _`Ninja Generators`: argument
57 Ninja Generators argument
66 .. _`IDE Build Tool Generators`: argument
68 IDE Build Tool Generators argument
75 .. _`Visual Studio Generators`: argument
77 Visual Studio Generators argument
96 Other Generators argument
[all …]
/dports/devel/cmake/cmake-3.22.1/Help/manual/
H A Dcmake-generators.7.rst27 CMake Generators
39 .. _`Makefile Generators`: argument
41 Makefile Generators argument
55 .. _`Ninja Generators`: argument
57 Ninja Generators argument
66 .. _`IDE Build Tool Generators`: argument
68 IDE Build Tool Generators argument
75 .. _`Visual Studio Generators`: argument
77 Visual Studio Generators argument
96 Other Generators argument
[all …]
/dports/devel/cmake-doc/cmake-3.22.1/Help/manual/
H A Dcmake-generators.7.rst27 CMake Generators
39 .. _`Makefile Generators`: argument
41 Makefile Generators argument
55 .. _`Ninja Generators`: argument
57 Ninja Generators argument
66 .. _`IDE Build Tool Generators`: argument
68 IDE Build Tool Generators argument
75 .. _`Visual Studio Generators`: argument
77 Visual Studio Generators argument
96 Other Generators argument
[all …]
/dports/math/gap/gap-4.11.0/pkg/nq-2.5.4/src/
H A Dconsistency.c25 ev1 = ExpVecWord(Generators[c]); in do_cba()
26 Collect(ev1, Generators[a], (expo)1); in do_cba()
30 ev2 = ExpVecWord(Generators[c]); in do_cba()
31 Collect(ev2, Generators[b], (expo)1); in do_cba()
58 ev = ExpVecWord(Generators[c]); in do_cbb()
73 ev = ExpVecWord(Generators[c]); in do_cbb()
92 ev = ExpVecWord(Generators[-c]); in do_ccb()
112 ev1 = ExpVecWord(Generators[c]); in do_cbn()
116 ev2 = ExpVecWord(Generators[c]); in do_cbn()
145 ev2 = ExpVecWord(Generators[c]); in do_cnb()
[all …]
H A Dtails.c19 ev1 = ExpVecWord(Generators[c]); in tail_cba()
20 Collect(ev1, Generators[b], (expo)1); in tail_cba()
21 Collect(ev1, Generators[a], (expo)1); in tail_cba()
24 ev2 = ExpVecWord(Generators[c]); in tail_cba()
25 Collect(ev2, Generators[a], (expo)1); in tail_cba()
54 ev1 = ExpVecWord(Generators[c]);
58 ev2 = ExpVecWord(Generators[c]);
87 ev1 = ExpVecWord(Generators[b]);
92 Collect(ev2, Generators[b], (expo)1);
121 ev1 = ExpVecWord(Generators[c]); in tail_cbb()
[all …]
/dports/www/restbed/restbed-4.8/dependency/catch/include/internal/
H A Dcatch_generators.hpp34 namespace Generators { namespace
114 class Generators : public IGenerator<T> { class
136 Generators(Gs &&... moreGenerators) { in Generators() function in Catch::Generators::Generators
169 return Generators<T>(std::move(generator), std::forward<Gs>(moreGenerators)...); in makeGenerators()
172 auto makeGenerators( GeneratorWrapper<T>&& generator ) -> Generators<T> { in makeGenerators()
173 return Generators<T>(std::move(generator)); in makeGenerators()
176 auto makeGenerators( T&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
180 auto makeGenerators( as<T>, U&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
206 …Catch::Generators::generate( CATCH_INTERNAL_LINEINFO, [ ]{ using namespace Catch::Generators; retu…
208 …Catch::Generators::generate( CATCH_INTERNAL_LINEINFO, [=]{ using namespace Catch::Generators; retu…
[all …]
/dports/math/libnormaliz/normaliz-3.9.0/source/libnormaliz/
H A Dnmz_nauty.cpp101 size_t mm = Generators.nr_of_rows(); in makeMM_euclidean()
110 vector<Integer> minus = Generators[i]; in makeMM_euclidean()
167 size_t mm = Generators.nr_of_rows(); in makeMM()
245 makeMM_euclidean(MM, Generators, SpecialLinForms); in makeMMFromGensOnly_inner()
249 size_t mm = Generators.nr_of_rows(); in makeMMFromGensOnly_inner()
250 size_t dim = Generators.nr_of_columns(); in makeMMFromGensOnly_inner()
257 ScalarProd[j][k] += Generators[i][j] * Generators[i][k]; in makeMMFromGensOnly_inner()
267 makeMM(MM, Generators, LinForms, quality); in makeMMFromGensOnly_inner()
329 size_t mm = Generators.nr_of_rows(); in compute_automs_by_nauty_Gens_LF()
335 makeMM(MM, Generators, LinForms, quality); in compute_automs_by_nauty_Gens_LF()
[all …]
H A Dcollection.cpp66 assert(g < Generators.nr_of_rows()); in initialize_minicones()
67 AllRays.insert(Generators[g]); in initialize_minicones()
77 Generators = Gens; in set_up()
208 AllRays.insert(Generators[key]); in refine()
254 AllRays.insert(Generators[H.first]); in insert_vectors()
303 Generators.append(NewGens[i]); in locate()
304 key = Generators.nr_of_rows()-1; in locate()
324 locate(Generators,NewRays,true); in insert_all_gens()
451 key = Generators.nr_of_rows(); in make_unimodular()
452 Generators.append(H.first); in make_unimodular()
[all …]
/dports/devel/catch/Catch2-2.13.7/include/internal/
H A Dcatch_generators.hpp35 namespace Generators { namespace
115 class Generators : public IGenerator<T> { class
137 Generators(Gs &&... moreGenerators) { in Generators() function in Catch::Generators::Generators
170 return Generators<T>(std::move(generator), std::forward<Gs>(moreGenerators)...); in makeGenerators()
173 auto makeGenerators( GeneratorWrapper<T>&& generator ) -> Generators<T> { in makeGenerators()
174 return Generators<T>(std::move(generator)); in makeGenerators()
177 auto makeGenerators( T&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
181 auto makeGenerators( as<T>, U&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
207 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
211 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
[all …]
/dports/math/e-antic/Catch2-ff349a5/include/internal/
H A Dcatch_generators.hpp35 namespace Generators { namespace
115 class Generators : public IGenerator<T> { class
137 Generators(Gs &&... moreGenerators) { in Generators() function in Catch::Generators::Generators
170 return Generators<T>(std::move(generator), std::forward<Gs>(moreGenerators)...); in makeGenerators()
173 auto makeGenerators( GeneratorWrapper<T>&& generator ) -> Generators<T> { in makeGenerators()
174 return Generators<T>(std::move(generator)); in makeGenerators()
177 auto makeGenerators( T&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
181 auto makeGenerators( as<T>, U&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
207 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
211 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
[all …]
/dports/math/e-antic/e-antic-1.0.0-rc.13/libeantic/test/external/catch2/include/internal/
H A Dcatch_generators.hpp35 namespace Generators { namespace
115 class Generators : public IGenerator<T> { class
137 Generators(Gs &&... moreGenerators) { in Generators() function in Catch::Generators::Generators
170 return Generators<T>(std::move(generator), std::forward<Gs>(moreGenerators)...); in makeGenerators()
173 auto makeGenerators( GeneratorWrapper<T>&& generator ) -> Generators<T> { in makeGenerators()
174 return Generators<T>(std::move(generator)); in makeGenerators()
177 auto makeGenerators( T&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
181 auto makeGenerators( as<T>, U&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
207 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
211 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
[all …]
/dports/emulators/citra-qt5/citra-ac98458e0/externals/catch/include/internal/
H A Dcatch_generators.hpp35 namespace Generators { namespace
115 class Generators : public IGenerator<T> { class
137 Generators(Gs &&... moreGenerators) { in Generators() function in Catch::Generators::Generators
170 return Generators<T>(std::move(generator), std::forward<Gs>(moreGenerators)...); in makeGenerators()
173 auto makeGenerators( GeneratorWrapper<T>&& generator ) -> Generators<T> { in makeGenerators()
174 return Generators<T>(std::move(generator)); in makeGenerators()
177 auto makeGenerators( T&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
181 auto makeGenerators( as<T>, U&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
207 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
211 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
[all …]
/dports/emulators/citra/citra-ac98458e0/externals/catch/include/internal/
H A Dcatch_generators.hpp35 namespace Generators { namespace
115 class Generators : public IGenerator<T> { class
137 Generators(Gs &&... moreGenerators) { in Generators() function in Catch::Generators::Generators
170 return Generators<T>(std::move(generator), std::forward<Gs>(moreGenerators)...); in makeGenerators()
173 auto makeGenerators( GeneratorWrapper<T>&& generator ) -> Generators<T> { in makeGenerators()
174 return Generators<T>(std::move(generator)); in makeGenerators()
177 auto makeGenerators( T&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
181 auto makeGenerators( as<T>, U&& val, Gs &&... moreGenerators ) -> Generators<T> { in makeGenerators()
207 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
211 Catch::Generators::generate( INTERNAL_CATCH_STRINGIZE(INTERNAL_CATCH_UNIQUE_NAME(generator)), \
[all …]
/dports/net-im/telegram-desktop/tdesktop-3.2.5-full/Telegram/lib_rpl/rpl/
H A Dmerge.h56 typename ...Generators,
62 std::tuple<producer<Value, Error, Generators>...> &&saved) { in merge_subscribe()
84 typename ...Generators>
88 producer<Value, Error, Generators> &&...producers) in merge_implementation_helper()
95 details::merge_state>(sizeof...(Generators)); in operator()
96 constexpr auto kArity = sizeof...(Generators); in operator()
107 std::tuple<producer<Value, Error, Generators>...> _saved;
114 typename ...Generators>
116 producer<Value, Error, Generators> &&...producers) { in merge_implementation()
132 typename ...Generators>
[all …]
/dports/sysutils/istio/istio-1.6.7/vendor/sigs.k8s.io/service-apis/vendor/sigs.k8s.io/controller-tools/pkg/genall/
H A Dgenall.go36 type Generators []*Generator type
40 func (g Generators) RegisterMarkers(reg *markers.Registry) error {
71 Generators Generators member
134 func (g Generators) ForRoots(rootPaths ...string) (*Runtime, error) {
140 Generators: g,
151 if err := rt.Generators.RegisterMarkers(rt.Collector.Registry); err != nil {
163 if len(r.Generators) == 0 {
168 for _, gen := range r.Generators {
/dports/net-mgmt/cacti/cacti-1.2.19/include/vendor/gettext/tests/
H A Dbootstrap.php19 Gettext\Generators\Csv::$options['includeHeaders'] = true;
21 Gettext\Generators\Jed::$options['json'] = JSON_PRETTY_PRINT;
23 Gettext\Generators\Json::$options['json'] = JSON_PRETTY_PRINT;
24 Gettext\Generators\Json::$options['includeHeaders'] = true;
26 Gettext\Generators\JsonDictionary::$options['json'] = JSON_PRETTY_PRINT;
28 Gettext\Generators\Yaml::$options['includeHeaders'] = true;
/dports/math/polymake/polymake-4.5/apps/topaz/src/
H A Dpersistent_homology.cc128 if (!M.rows()) return std::pair<Generators, Torsion>(); //Z empty. in persistent_homology()
130 Generators Z; in persistent_homology()
136 if (!Z.rows()) return std::pair<Generators, Torsion>(); //Z empty in persistent_homology()
156 if (!M2.rows()) return std::pair<Generators, Torsion>(ZZ,Torsion()); //B empty in persistent_homology()
160 if (!B.rows()) return std::pair<Generators, Torsion>(ZZ,Torsion()); //B empty in persistent_homology()
162 Generators U = null_space_snf<MatrixType>((ZZ/B)).minor(All,sequence(0,Z.rows())); in persistent_homology()
165 if (!U.rows()) return std::pair<Generators, Torsion>(ZZ,Torsion()); //intersection empty in persistent_homology()
170 Generators R = SNF4.right_companion * ZZ; in persistent_homology()
175 tor.push_front(std::pair<Coeff, Generators>{ t->first, R.minor(sequence(r-mult, mult),All) }); in persistent_homology()
180 Generators free; in persistent_homology()
[all …]
/dports/www/gohugo/hugo-0.91.2/docs/content/en/about/
H A Dbenefits.md2 title: The Benefits of Static Site Generators
28 ## More on Static Site Generators
30 * ["An Introduction to Static Site Generators", David Walsh][]
32 * ["Static Site Generators", O'Reilly][]
33 * [StaticGen: Top Open-Source Static Site Generators (GitHub Stars)][]
34 * ["Top 10 Static Website Generators", Netlify blog][]
38 ["An Introduction to Static Site Generators", David Walsh]: https://davidwalsh.name/introduction-st…
39 ["Static Site Generators", O'Reilly]: https://github.com/gohugoio/hugoDocs/files/1242701/static-sit…
40 ["Top 10 Static Website Generators", Netlify blog]: https://www.netlify.com/blog/2016/05/02/top-ten…
42 [StaticGen: Top Open-Source Static Site Generators (GitHub Stars)]: https://www.staticgen.com/
/dports/security/vault/vault-1.8.2/vendor/go.mongodb.org/mongo-driver/vendor/github.com/gobuffalo/genny/
H A Dgroup.go6 Generators []*Generator member
14 gg.Generators = append(gg.Generators, g)
18 for _, g := range g2.Generators {
27 for _, g := range gg.Generators {
/dports/net-mgmt/semaphore/semaphore-2.6.8/vendor/go.mongodb.org/mongo-driver/vendor/github.com/gobuffalo/genny/
H A Dgroup.go6 Generators []*Generator member
14 gg.Generators = append(gg.Generators, g)
18 for _, g := range g2.Generators {
27 for _, g := range gg.Generators {
/dports/www/grafana8/grafana-8.3.6/vendor/go.mongodb.org/mongo-driver/vendor/github.com/gobuffalo/genny/
H A Dgroup.go6 Generators []*Generator member
14 gg.Generators = append(gg.Generators, g)
18 for _, g := range g2.Generators {
27 for _, g := range gg.Generators {
/dports/net-mgmt/prometheus2/prometheus-2.30.3/vendor/go.mongodb.org/mongo-driver/vendor/github.com/gobuffalo/genny/
H A Dgroup.go6 Generators []*Generator member
14 gg.Generators = append(gg.Generators, g)
18 for _, g := range g2.Generators {
27 for _, g := range gg.Generators {
/dports/multimedia/kdenlive/kdenlive-21.12.3/src/bin/generators/
H A Dgenerators.cpp33 Generators::Generators(const QString &path, QWidget *parent) in Generators() function in Generators
59 … connect(m_timePos, &TimecodeDisplay::timeCodeEditingFinished, this, &Generators::updateDuration); in Generators()
84 void Generators::updateProducer() in updateProducer()
92 void Generators::resizeEvent(QResizeEvent *event) in resizeEvent()
98 Generators::~Generators() in ~Generators()
104 void Generators::getGenerators(const QStringList &producers, QMenu *menu) in getGenerators()
128 QPair<QString, QString> Generators::parseGenerator(const QString &path, const QStringList &producer… in parseGenerator()
146 void Generators::updateDuration(int duration) in updateDuration()
153 QUrl Generators::getSavedClip(QString clipFolder) in getSavedClip()
/dports/games/cataclysm-dda-tiles/Cataclysm-DDA-0.F/tests/
H A Dcata_generators.cpp10 public Catch::Generators::IGenerator<point>
34 public Catch::Generators::IGenerator<tripoint>
59 Catch::Generators::GeneratorWrapper<point> random_points( int low, int high ) in random_points()
61 return Catch::Generators::GeneratorWrapper<point>( in random_points()
65 Catch::Generators::GeneratorWrapper<tripoint> random_tripoints( in random_tripoints()
68 return Catch::Generators::GeneratorWrapper<tripoint>( in random_tripoints()

12345678910>>...121