Home
last modified time | relevance | path

Searched refs:INITVAL_22 (Results 1 – 5 of 5) sorted by relevance

/dports/cad/yosys/yosys-yosys-0.12/techlibs/nexus/
H A Dbrams_init.vh35 .INITVAL_22($sformatf("0x%080x", permute_init(INIT[34 * INIT_CHUNK_SIZE +: INIT_CHUNK_SIZE]))),
H A Dcells_xtra.v369 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
562 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
977 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
1205 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
1410 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
3639 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
3829 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
3919 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
4108 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
4686 …parameter INITVAL_22 = "0x000000000000000000000000000000000000000000000000000000000000000000000000… constant
[all …]
H A Dlrams_init.vh35 .INITVAL_22($sformatf("0x%05120x", permute_init(INIT[34 * INIT_CHUNK_SIZE +: INIT_CHUNK_SIZE]))),
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ecp5/
H A Dcells_sim.v785 …parameter INITVAL_22 = 320'h0000000000000000000000000000000000000000000000000000000000000000000000… constant
H A Dcells_bb.v798 …parameter INITVAL_22 = 320'h0000000000000000000000000000000000000000000000000000000000000000000000… constant