Home
last modified time | relevance | path

Searched refs:MMP_IOMGMemory (Results 1 – 3 of 3) sorted by relevance

/dports/science/wxmacmolplt/wxmacmolplt-7.7-43-g9a46f7a/src/
H A DCML.h400 MMP_IOMGMemory, enumerator
H A DInputData.cpp3006 Ele->addChildElement(CML_convert(MMP_IOMGMemory), line); in WriteXML()
3040 case MMP_IOMGMemory: in ReadXML()
H A DCML.cpp3787 case MMP_IOMGMemory: in CML_convert()