Home
last modified time | relevance | path

Searched refs:SYM_DATA_END (Results 1 – 25 of 45) sorted by relevance

12

/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/kernel/
H A Dhead_64.S475 SYM_DATA_END(early_top_pgt)
494 SYM_DATA_END(init_top_pgt)
499 SYM_DATA_END(level3_ident_pgt)
510 SYM_DATA_END(level2_ident_pgt)
515 SYM_DATA_END(init_top_pgt)
522 SYM_DATA_END(level4_kernel_pgt)
530 SYM_DATA_END(level3_kernel_pgt)
547 SYM_DATA_END(level2_kernel_pgt)
559 SYM_DATA_END(level2_fixmap_pgt)
565 SYM_DATA_END(level1_fixmap_pgt)
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/kernel/
H A Dhead_64.S475 SYM_DATA_END(early_top_pgt)
494 SYM_DATA_END(init_top_pgt)
499 SYM_DATA_END(level3_ident_pgt)
510 SYM_DATA_END(level2_ident_pgt)
515 SYM_DATA_END(init_top_pgt)
522 SYM_DATA_END(level4_kernel_pgt)
530 SYM_DATA_END(level3_kernel_pgt)
547 SYM_DATA_END(level2_kernel_pgt)
559 SYM_DATA_END(level2_fixmap_pgt)
565 SYM_DATA_END(level1_fixmap_pgt)
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/kernel/
H A Dhead_64.S475 SYM_DATA_END(early_top_pgt)
494 SYM_DATA_END(init_top_pgt)
499 SYM_DATA_END(level3_ident_pgt)
510 SYM_DATA_END(level2_ident_pgt)
515 SYM_DATA_END(init_top_pgt)
522 SYM_DATA_END(level4_kernel_pgt)
530 SYM_DATA_END(level3_kernel_pgt)
547 SYM_DATA_END(level2_kernel_pgt)
559 SYM_DATA_END(level2_fixmap_pgt)
565 SYM_DATA_END(level1_fixmap_pgt)
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/include/linux/
H A Dlinkage.h326 #ifndef SYM_DATA_END
327 #define SYM_DATA_END(name) \ macro
345 SYM_DATA_END(name)
353 SYM_DATA_END(name)
/dports/multimedia/v4l_compat/linux-5.13-rc2/include/linux/
H A Dlinkage.h326 #ifndef SYM_DATA_END
327 #define SYM_DATA_END(name) \ macro
345 SYM_DATA_END(name)
353 SYM_DATA_END(name)
/dports/multimedia/v4l-utils/linux-5.13-rc2/include/linux/
H A Dlinkage.h326 #ifndef SYM_DATA_END
327 #define SYM_DATA_END(name) \ macro
345 SYM_DATA_END(name)
353 SYM_DATA_END(name)
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/realmode/rm/
H A Dwakeup_asm.S34 SYM_DATA_END(wakeup_header)
168 SYM_DATA_END(wakeup_gdt)
179 SYM_DATA_END(.Lwakeup_idt)
H A Dreboot.S134 SYM_DATA_END(machine_real_restart_idt)
157 SYM_DATA_END(machine_real_restart_gdt)
H A Dheader.S39 SYM_DATA_END(real_mode_header)
H A Dtrampoline_32.S71 SYM_DATA_END(trampoline_header)
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/realmode/rm/
H A Dwakeup_asm.S34 SYM_DATA_END(wakeup_header)
168 SYM_DATA_END(wakeup_gdt)
179 SYM_DATA_END(.Lwakeup_idt)
H A Dreboot.S134 SYM_DATA_END(machine_real_restart_idt)
157 SYM_DATA_END(machine_real_restart_gdt)
H A Dheader.S39 SYM_DATA_END(real_mode_header)
H A Dtrampoline_32.S71 SYM_DATA_END(trampoline_header)
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/realmode/rm/
H A Dwakeup_asm.S34 SYM_DATA_END(wakeup_header)
168 SYM_DATA_END(wakeup_gdt)
179 SYM_DATA_END(.Lwakeup_idt)
H A Dreboot.S134 SYM_DATA_END(machine_real_restart_idt)
157 SYM_DATA_END(machine_real_restart_gdt)
H A Dheader.S39 SYM_DATA_END(real_mode_header)
H A Dtrampoline_32.S71 SYM_DATA_END(trampoline_header)
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/boot/compressed/
H A Defi_thunk_64.S167 SYM_DATA_END(efi32_boot_gdt)
171 SYM_DATA_END(efi32_boot_cs)
175 SYM_DATA_END(efi32_boot_ds)
H A Dhead_64.S696 SYM_DATA_END(gdt64)
712 SYM_DATA_END(boot_idt_desc)
725 SYM_DATA_END(boot32_idt_desc)
823 SYM_DATA_END(loaded_image_proto)
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/boot/compressed/
H A Defi_thunk_64.S167 SYM_DATA_END(efi32_boot_gdt)
171 SYM_DATA_END(efi32_boot_cs)
175 SYM_DATA_END(efi32_boot_ds)
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/boot/compressed/
H A Defi_thunk_64.S167 SYM_DATA_END(efi32_boot_gdt)
171 SYM_DATA_END(efi32_boot_cs)
175 SYM_DATA_END(efi32_boot_ds)
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/realmode/
H A Drmpiggy.S19 SYM_DATA_END(real_mode_relocs)
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/realmode/
H A Drmpiggy.S19 SYM_DATA_END(real_mode_relocs)
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/realmode/
H A Drmpiggy.S19 SYM_DATA_END(real_mode_relocs)

12