/dports/graphics/xfractint/xfractint-20.04p16/common/ |
H A D | fractalp.c | 393 HT_MANDFN, HF_MANDFN, TRIG1+WINFRAC, 500 HT_SCOTSKIN, HF_SQRFN, TRIG1+WINFRAC+BAILTEST, 511 HT_SCOTSKIN, HF_SQRFN, TRIG1+WINFRAC+BAILTEST, 713 HT_SCOTSKIN, HF_SQROVFN, TRIG1+WINFRAC+BAILTEST, 725 HT_SCOTSKIN, HF_SQROVFN, TRIG1+WINFRAC+BAILTEST, 804 HT_LAMBDAFN, HF_LAMBDAFN, TRIG1+WINFRAC+OKJB, 864 HT_LAMBDAFN, HF_LAMBDAFN, TRIG1+WINFRAC+OKJB, 876 HT_MANDFN, HF_MANDFN, TRIG1+WINFRAC, 1609 HT_MARKS, HF_TIMSERR, WINFRAC+TRIG1+BAILTEST, 1621 HT_MARKS, HF_TIMSERR, WINFRAC+TRIG1+BAILTEST, [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/ |
H A D | ep_rx_oob_insert.vhd | 71 -- TRIG1 : in std_logic_vector(31 downto 0); 84 --signal TRIG1 : std_logic_vector(31 downto 0); 94 -- TRIG1 => TRIG1,
|
H A D | wr_endpoint.vhd | 444 signal TRIG0, TRIG1, TRIG2, TRIG3 : std_logic_vector(31 downto 0); signal 456 TRIG1 : in std_logic_vector(31 downto 0); port in wr_endpoint.syn.chipscope_ila 985 -- TRIG1 => TRIG1,
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_mini_nic/ |
H A D | wr_mini_nic.vhd | 254 -- TRIG1 : in std_logic_vector(31 downto 0); 262 --signal TRIG1 : std_logic_vector(31 downto 0); 278 -- TRIG1 => TRIG1, 808 --TRIG1(15 downto 0) <= snk_dat_i; 809 --TRIG1(16) <= rx_fifo_afull; 810 --TRIG1(17) <= wb_out.cyc; 811 --TRIG1(18) <= wb_out.stb; 812 --TRIG1(19) <= wb_in.ack; 813 --TRIG1(20) <= irq_rx;
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/extramfifo/ |
H A D | test_sram_if.v | 183 .TRIG1(data_out_reg[7:0]),
|
H A D | ila.v | 37 CLK, CONTROL, TRIG0, TRIG1, TRIG2, TRIG3 42 input [7 : 0] TRIG1; port 4970 .D(TRIG1[7]), 4978 .D(TRIG1[6]), 4986 .D(TRIG1[5]), 4994 .D(TRIG1[4]), 5002 .D(TRIG1[3]), 5010 .D(TRIG1[2]), 5018 .D(TRIG1[1]), 5026 .D(TRIG1[0]),
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/extramfifo/ |
H A D | test_sram_if.v | 186 .TRIG1(data_out_reg[7:0]),
|
/dports/cad/lepton-eda/lepton-eda-1.9.17/symbols/sym/74/ |
H A D | 9602-1.sym | 44 pinlabel=TRIG1
|
/dports/cad/geda/geda-gaf-1.8.2/symbols/74/ |
H A D | 9602-1.sym | 44 pinlabel=TRIG1
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wrc_core/ |
H A D | wr_core.vhd | 499 -- TRIG1 : in std_logic_vector(31 downto 0); 512 --signal TRIG1 : std_logic_vector(31 downto 0); 985 -- TRIG1 => TRIG1, 1003 --TRIG1(15 downto 0) <= mux_snk_in(0).dat;
|
/dports/devel/cc65/cc65-2.19/asminc/ |
H A D | atari_gtia.inc | 32 TRIG1 = GTIA + $11 ;joystick trigger 1
|
/dports/emulators/atari800/atari800-3.1.0/src/ |
H A D | emuos.lis | 111 TRIG1 = $D011 538 LDA TRIG1
|
/dports/graphics/xfractint/xfractint-20.04p16/headers/ |
H A D | fractint.h | 581 #define TRIG1 64 /* number of trig functions in formula */ macro
|
/dports/devel/asl/asl-current/include/coldfire/ |
H A D | mcf51qm.inc | 783 TRIG1 cfbit FTM{NUM}_SYNC,5 ; PWM Synchronization External Trigger 1
|
/dports/biology/biosig/biosig-2.3.3/biosig4matlab/t200_FileAccess/ |
H A D | sopen.m | 3073 HDR.Label = [{'TRIG1';'TRIG2';'TRIG3';'EOG'};cellstr(repmat('EEG',60,1))];
|