Home
last modified time | relevance | path

Searched refs:TYPE_RAM (Results 1 – 4 of 4) sorted by relevance

/dports/cad/alliance/alliance/src/documentation/alliance-examples/hadamard/
H A Dram.vhdl22 TYPE TYPE_RAM IS ARRAY(63 DOWNTO 0) OF TYPE_WORD; type
23 SIGNAL memory : TYPE_RAM;
/dports/deskutils/virt-manager/virt-manager-3.2.0/virtManager/device/
H A Dfsdetails.py98 DeviceFilesystem.TYPE_RAM])
131 show_ram_source = fstype == DeviceFilesystem.TYPE_RAM
187 if dev.type != DeviceFilesystem.TYPE_RAM:
225 if fstype == DeviceFilesystem.TYPE_RAM:
/dports/deskutils/virt-manager/virt-manager-3.2.0/virtinst/devices/
H A Dfilesystem.py20 TYPE_RAM = "ram" variable in DeviceFilesystem
66 elif self.type == DeviceFilesystem.TYPE_RAM:
/dports/cad/alliance/alliance/src/documentation/alliance-examples/amd2901-vasy/
H A Damd2901.vhdl67 TYPE TYPE_RAM IS ARRAY(15 DOWNTO 0) OF TYPE_WORD; type
68 SIGNAL ram : TYPE_RAM;