Home
last modified time | relevance | path

Searched refs:UNOPTFLAT (Results 1 – 11 of 11) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_unopt_combo_bad.out1 %Warning-UNOPTFLAT: t/t_unopt_combo.v:24:25: Signal unoptimizable: Feedback to clock or circular lo…
4 ... For warning description see https://verilator.org/warn/UNOPTFLAT?v=latest
5 … ... Use "/* verilator lint_off UNOPTFLAT */" and lint_on around source to disable this message.
H A Dt_unoptflat_simple_2_bad.out1 %Warning-UNOPTFLAT: t/t_unoptflat_simple_2.v:15:15: Signal unoptimizable: Feedback to clock or circ…
4 ... For warning description see https://verilator.org/warn/UNOPTFLAT?v=latest
5 … ... Use "/* verilator lint_off UNOPTFLAT */" and lint_on around source to disable this message.
H A Dt_unopt_combo.vlt3 lint_off -rule UNOPTFLAT -file "*t_unopt_combo.v" -match "Signal unoptimizable: Feedback to clock o…
/dports/cad/verilator/verilator-4.216/docs/guide/
H A Dwarnings.rst470 typically occurs when :vlopt:`-Wno-UNOPTFLAT <UNOPTFLAT>` has been used
471 to override an UNOPTFLAT warning (see below).
473 The solution is to break the loop, as described for UNOPTFLAT.
481 usually around code where a UNOPTFLAT warning was issued, but disabled.
495 To debug this, first review any UNOPT or UNOPTFLAT warnings that were
496 ignored. Though typically it is safe to ignore UNOPTFLAT (at a
497 performance cost), at the time of issuing a UNOPTFLAT Verilator did not
1377 .. option:: UNOPTFLAT
1426 The most complicated UNOPTFLAT path we've seen was due to low bits of a
1431 Occasionally UNOPTFLAT may be indicated when there is a true
[all …]
H A Dextensions.rst239 a large combinatorial block that is resulting in an ``UNOPTFLAT``
456 multiple pieces typically to resolve ``UNOPTFLAT`` performance issues.
458 itself, see :option:`UNOPTFLAT`.
H A Dsimulating.rst33 UNOPTFLAT warnings from Verilator. Fixing these warnings can result in
34 huge improvements; one user fixed their one UNOPTFLAT warning by making a
178 blocks receive signals which have had the UNOPTFLAT warning disabled; for
H A Dexe_verilator.rst956 Extra diagnostics for UNOPTFLAT warnings. This includes for each loop,
1560 that is resulting in an UNOPTFLAT warning, attaching this to the signal
1651 Break the variable into multiple pieces typically to resolve UNOPTFLAT
1653 recommended by Verilator itself, see :option:`UNOPTFLAT`.
/dports/cad/verilator/verilator-4.216/src/
H A DV3Error.h128 UNOPTFLAT, // Unoptimizable block after flattening enumerator
H A DV3FileLine.cpp327 if (code == V3ErrorCode::UNOPT && !m_warnOn.test(V3ErrorCode::UNOPTFLAT)) return true; in warnIsOff()
H A DV3Order.cpp1163 nodep->v3warn(UNOPTFLAT, in nodeMarkCircular()
1166 if (!nodep->fileline()->warnIsOff(V3ErrorCode::UNOPTFLAT) in nodeMarkCircular()
1168 nodep->fileline()->modifyWarnOff(V3ErrorCode::UNOPTFLAT, in nodeMarkCircular()
/dports/cad/verilator/verilator-4.216/
H A DChanges515 * Add split_var metacomment to assist UNOPTFLAT fixes. (#2066) [Yutetsu TAKATSUKASA]
1302 * Fix UNOPTFLAT change detect on multidim arrays. (#872) [Andrew Bardsley]
1419 * Fix -Wno-UNOPTFLAT change detection with 64-bits. (#762) [Clifford Wolf]
1558 * Fix UNOPTFLAT circular array bounds crossing. (#630) [Jie Xu]
1705 * Fix core dump with over 100 deep UNOPTFLAT. (#432) [Joe Eiler]