Home
last modified time | relevance | path

Searched refs:VTypeArray (Results 1 – 17 of 17) sorted by relevance

/dports/cad/iverilog/verilog-11.0/vhdlpp/
H A Dvtype.cc99 VTypeArray::range_t*VTypeArray::range_t::clone() const in clone()
104 VTypeArray::VTypeArray(const VType*element, const vector<VTypeArray::range_t>&r, bool sv) in VTypeArray() function in VTypeArray
116 VTypeArray::VTypeArray(const VType*element, std::list<ExpRange*>*r, bool sv) in VTypeArray() function in VTypeArray
129 VTypeArray::VTypeArray(const VType*element, int msb, int lsb, bool sv) in VTypeArray() function in VTypeArray
136 VTypeArray::~VTypeArray() in ~VTypeArray()
140 VType*VTypeArray::clone() const { in clone()
147 VTypeArray*a = new VTypeArray(etype_->clone(), new_ranges, signed_flag_); in clone()
165 if(const VTypeArray*arr = dynamic_cast<const VTypeArray*>(t)) { in basic_type()
176 void VTypeArray::show(ostream&out) const in show()
208 const VTypeArray::range_t&dim = *it; in get_width()
[all …]
H A Dstd_types.cc39 const VTypeArray primitive_CHARACTER(&primitive_BIT, 7, 0);
40 const VTypeArray primitive_BIT_VECTOR(&primitive_BIT, vector<VTypeArray::range_t> (1));
41 const VTypeArray primitive_BOOL_VECTOR(&type_BOOLEAN, vector<VTypeArray::range_t> (1));
42 const VTypeArray primitive_STDLOGIC_VECTOR(&primitive_STDLOGIC, vector<VTypeArray::range_t> (1));
43 const VTypeArray primitive_STRING(&primitive_CHARACTER, vector<VTypeArray::range_t> (1));
44 const VTypeArray primitive_SIGNED(&primitive_STDLOGIC, vector<VTypeArray::range_t> (1), tr…
45 const VTypeArray primitive_UNSIGNED(&primitive_STDLOGIC, vector<VTypeArray::range_t> (1), fa…
H A Dstd_types.h44 extern const VTypeArray primitive_CHARACTER;
45 extern const VTypeArray primitive_BIT_VECTOR;
46 extern const VTypeArray primitive_BOOL_VECTOR;
47 extern const VTypeArray primitive_STDLOGIC_VECTOR;
48 extern const VTypeArray primitive_STRING;
49 extern const VTypeArray primitive_SIGNED;
50 extern const VTypeArray primitive_UNSIGNED;
H A Dexpression_elaborate.cc69 if (const VTypeArray*array = dynamic_cast<const VTypeArray*>(type)) { in elaborate_adjust_type_with_range_()
174 if (const VTypeArray*array = dynamic_cast<const VTypeArray*> (found_type)) { in elaborate_lval_()
425 const VTypeArray*res = new VTypeArray(host->element_type(), range); in fit_type()
443 if (const VTypeArray*larray = dynamic_cast<const VTypeArray*>(ltype)) { in elaborate_expr()
591 const VTypeArray*t1_arr = dynamic_cast<const VTypeArray*>(t1); in resolve_operand_types_()
592 const VTypeArray*t2_arr = dynamic_cast<const VTypeArray*>(t2); in resolve_operand_types_()
607 VTypeArray*resolved = new VTypeArray(t1_parent->element_type(), in resolve_operand_types_()
700 const VTypeArray*type = new VTypeArray(&primitive_STDLOGIC, value_.size() - 1, 0); in elaborate_expr()
729 if(const VTypeArray*arr = dynamic_cast<const VTypeArray*>(types[i])) { in fit_type()
771 if (const VTypeArray*atype = dynamic_cast<const VTypeArray*>(ltype)) { in elaborate_expr()
[all …]
H A Dvtype_match.cc66 bool VTypeArray::type_match(const VType*that) const in type_match()
72 if(const VTypeArray*arr = dynamic_cast<const VTypeArray*>(that)) { in type_match()
73 const VTypeArray*this_parent = this; in type_match()
74 while(const VTypeArray*tmp = this_parent->get_parent_type()) in type_match()
77 const VTypeArray*that_parent = arr; in type_match()
78 while(const VTypeArray*tmp = that_parent->get_parent_type()) in type_match()
H A Dvtype_emit.cc61 int VTypeArray::emit_def(ostream&out, perm_string name) const in emit_def()
90 int VTypeArray::emit_typedef(std::ostream&out, typedef_context_t&ctx) const in emit_typedef()
95 int VTypeArray::emit_with_dims_(std::ostream&out, bool packed, perm_string name) const in emit_with_dims_()
99 list<const VTypeArray*> dims; in emit_with_dims_()
100 const VTypeArray*cur = this; in emit_with_dims_()
111 if(const VTypeArray*sub = dynamic_cast<const VTypeArray*>(el_type)) { in emit_with_dims_()
284 if(dynamic_cast<const VTypeArray*>(type_)) in emit_typedef()
H A Dparse_misc.cc93 const VTypeArray*base_array = dynamic_cast<const VTypeArray*> (base_type); in calculate_subtype_array()
97 vector<VTypeArray::range_t> range (base_array->dimensions()); in calculate_subtype_array()
102 range[0] = VTypeArray::range_t(array_left, array_right, downto); in calculate_subtype_array()
107 VTypeArray*subtype = new VTypeArray(element, range, in calculate_subtype_array()
H A Dexpression_evaluate.cc78 const VTypeArray*arr = dynamic_cast<const VTypeArray*>(type); in test_array_type()
111 const VTypeArray*arr = dynamic_cast<const VTypeArray*>(type); in evaluate_type_attr()
114 const VTypeArray*arr = dynamic_cast<const VTypeArray*>(type); in evaluate_type_attr()
H A Dsubprogram.cc177 const VTypeArray*orig_ret = dynamic_cast<const VTypeArray*>(return_type_); in exact_return_type()
182 const VTypeArray*arg = dynamic_cast<const VTypeArray*>(argv[0]->fit_type(ent, scope, orig_ret)); in exact_return_type()
187VTypeArray*ret = new VTypeArray(orig_ret->element_type(), arg->dimensions(), orig_ret->signed_vect… in exact_return_type()
345 if(VTypeArray*arr = dynamic_cast<VTypeArray*>(return_type)) in fix_return_type()
H A Dvtype.h192 class VTypeArray : public VType {
215 VTypeArray(const VType*etype, const std::vector<range_t>&r, bool signed_vector = false);
216 VTypeArray(const VType*etype, std::list<ExpRange*>*r, bool signed_vector = false);
217 VTypeArray(const VType*etype, int msb, int lsb, bool signed_vector = false);
218 ~VTypeArray();
254 inline void set_parent_type(const VTypeArray*parent) { parent_ = parent; } in set_parent_type()
256 const VTypeArray*get_parent_type() const { return parent_; } in get_parent_type()
272 const VTypeArray*parent_;
H A Dexpression_emit.cc126 if (const VTypeArray*atype = dynamic_cast<const VTypeArray*> (use_type)) in emit()
145 const VTypeArray::range_t&rang = atype->dimension(0); in emit_array_()
184 const VTypeArray::range_t&rang = atype->dimension(0); in emit_array_()
494 const VTypeArray*array; in emit()
778 const VTypeArray*arr = dynamic_cast<const VTypeArray*>(type); in try_workarounds_()
786 const VTypeArray*arr = dynamic_cast<const VTypeArray*>(type); in try_workarounds_()
808 bool ExpName::check_const_array_workaround_(const VTypeArray*arr, in check_const_array_workaround_()
844 const VTypeArray*arr = dynamic_cast<const VTypeArray*>(type); in check_const_record_workaround_()
965 const VTypeArray*arr; in emit()
969 if (type != &primitive_STRING && (arr = dynamic_cast<const VTypeArray*>(type))) { in emit()
[all …]
H A Dvtype_stream.cc49 void VTypeArray::write_to_stream(ostream&fd) const in write_to_stream()
77 void VTypeArray::write_range_to_stream_(std::ostream&fd) const in write_range_to_stream_()
97 bool VTypeArray::write_special_case(std::ostream&fd) const in write_special_case()
120 void VTypeArray::write_type_to_stream(ostream&fd) const in write_type_to_stream()
H A Dexpression.h38 class VTypeArray; variable
191 const VType*fit_type(Entity*ent, ScopeBase*scope, const VTypeArray*atype) const;
329 const VType*fit_type(Entity*ent, ScopeBase*scope, const VTypeArray*atype) const;
337 int elaborate_expr_array_(Entity*ent, ScopeBase*scope, const VTypeArray*ltype);
455 const VType*fit_type(Entity*ent, ScopeBase*scope, const VTypeArray*atype) const;
475 const VType*fit_type(Entity*ent, ScopeBase*scope, const VTypeArray*atype) const;
503 const VType*fit_type(Entity*ent, ScopeBase*scope, const VTypeArray*atype) const;
512 int elaborate_expr_array_(Entity*ent, ScopeBase*scope, const VTypeArray*ltype);
615 const VType*fit_type(Entity*ent, ScopeBase*scope, const VTypeArray*atype) const;
725 const VType* fit_type(Entity*ent, ScopeBase*scope, const VTypeArray*host) const;
[all …]
H A Dstd_funcs.cc49 const VTypeArray*array = dynamic_cast<const VTypeArray*>(type); in emit_name()
108 if(const VTypeArray*arr = dynamic_cast<const VTypeArray*>(type)) in emit_args()
157 const VTypeArray*arr = dynamic_cast<const VTypeArray*>(arg_type); in emit_args()
H A Dvtype_elaborate.cc29 int VTypeArray::elaborate(Entity*ent, ScopeBase*scope) const in elaborate()
H A Dvsignal.cc62 || (!type->can_be_packed() && dynamic_cast<const VTypeArray*>(type))) in emit()
H A Dparse.y759 { VTypeArray*tmp = new VTypeArray($4, $2);
771 VTypeArray*arr = new VTypeArray($6, &r);