Home
last modified time | relevance | path

Searched refs:XNOR (Results 1 – 25 of 473) sorted by relevance

12345678910>>...19

/dports/cad/qelectrotech/qet-0.7.0/elements/20_logic/10_functions/
H A Dxnor.elmt4 <name lang="de">exklusives ODER-NICHT (XNOR)</name>
5 <name lang="el">XNOR</name>
6 <name lang="fr">NON-OU excusif (XNOR)</name>
7 <name lang="cs"> NE-NEBO výhradní (XNOR)</name>
9 <name lang="es">NO-O exclusiva (XNOR)</name>
10 <name lang="ar">بوابة XNOR</name>
11 <name lang="it">XNOR</name>
12 <name lang="pl">XNOR</name>
13 <name lang="en">XNOR</name>
14 <name lang="pt">NÃO-OU exclusivo (XNOR)</name>
[all …]
/dports/editors/calligra/calligra-3.2.1/plugins/stencilsdocker/stencils/Digital/
H A Dxnor_v.desktop8 Name[de]=XNOR-Gatter senkrecht
11 Name[es]=XNOR vertical
15 Name[gl]=Equivalencia (XNOR) vertical
26 Name[tr]=dikey XNOR kapısı
H A Dxnor_h.desktop8 Name[de]=XNOR-Gatter waagerecht
11 Name[es]=XNOR horizontal
15 Name[gl]=Equivalencia (XNOR) horizontal
26 Name[tr]=yatay XNOR kapısı
/dports/misc/opennn/opennn-5.0.5/blank/data/
H A Dlogical_operations.csv1 X;Y;AND;OR;NAND;NOR;XNOR;XNOR
/dports/security/palisade/palisade-release-d76213499af44558170cca6c72c5314755fec23c/src/binfhe/examples/
H A Dboolean-truth-tables.cpp166 auto ctXNOR1 = cc.EvalBinGate(XNOR, ct10, ct11);
167 auto ctXNOR2 = cc.EvalBinGate(XNOR, ct10, ct01);
168 auto ctXNOR3 = cc.EvalBinGate(XNOR, ct00, ct01);
169 auto ctXNOR4 = cc.EvalBinGate(XNOR, ct00, ct11);
/dports/security/palisade/palisade-release-d76213499af44558170cca6c72c5314755fec23c/benchmark/src/
H A Dbinfhe-ap.cpp117 BENCHMARK_CAPTURE(FHEW_BINGATE, MEDIUM_XNOR, MEDIUM, XNOR)
142 BENCHMARK_CAPTURE(FHEW_BINGATE, STD128_XNOR, STD128, XNOR)
167 BENCHMARK_CAPTURE(FHEW_BINGATE, STD128_AP_XNOR, STD128_AP, XNOR)
H A Dbinfhe-ginx.cpp115 BENCHMARK_CAPTURE(FHEW_BINGATE, MEDIUM_XNOR, MEDIUM, XNOR)
140 BENCHMARK_CAPTURE(FHEW_BINGATE, STD128_XNOR, STD128, XNOR)
/dports/security/palisade/palisade-release-d76213499af44558170cca6c72c5314755fec23c/src/binfhe/unittest/
H A DUnitTestFHEW.cpp669 TEST(UnitTestFHEWAP, XNOR) { in TEST() argument
682 auto ct11 = cc.EvalBinGate(XNOR, ct1, ct1Alt); in TEST()
683 auto ct01 = cc.EvalBinGate(XNOR, ct0, ct1); in TEST()
684 auto ct10 = cc.EvalBinGate(XNOR, ct1, ct0); in TEST()
685 auto ct00 = cc.EvalBinGate(XNOR, ct0, ct0Alt); in TEST()
705 TEST(UnitTestFHEWGINX, XNOR) { in TEST() argument
718 auto ct11 = cc.EvalBinGate(XNOR, ct1, ct1Alt); in TEST()
719 auto ct01 = cc.EvalBinGate(XNOR, ct0, ct1); in TEST()
720 auto ct10 = cc.EvalBinGate(XNOR, ct1, ct0); in TEST()
721 auto ct00 = cc.EvalBinGate(XNOR, ct0, ct0Alt); in TEST()
/dports/misc/opennn/opennn-5.0.5/examples/logical_operations/data/
H A Dlogical_operations.csv1 X;Y;AND;OR;NAND;NOR;XOR;XNOR
/dports/cad/xcircuit/xcircuit-3.10.30/asg/
H A Dpsfigs.h57 #define XNOR 7 macro
H A Dasg_module.c117 if (!strcmp(s, XNOR_GATE)) return XNOR;
185 else if ((type == XOR) || (type == XNOR)) in xc_print_asg_module()
/dports/audio/spiralsynthmodular/spiralmodular-0.2.2/SpiralSound/Plugins/LogicPlugin/
H A DLogicPlugin.h38 enum OperatorType{NONE,AND,OR,NOT,NAND,NOR,XOR,XNOR}; enumerator
H A DLogicPluginGUI.C125 case LogicPlugin::XNOR : m_XNOR->value (true); break; in UpdateValues()
249 m_GUICH->Set("Operator",(int)LogicPlugin::XNOR); in cb_XNOR_i()
H A DLogicPlugin.C94 case XNOR: // Only uses inputs 1 and 2 in Execute()
/dports/cad/geda/geda-gaf-1.8.2/symbols/4000/
H A D4077-1.sym61 description=4 XNOR gates with 2 inputs
/dports/cad/lepton-eda/lepton-eda-1.9.17/symbols/sym/4000/
H A D4077-1.sym61 description=4 XNOR gates with 2 inputs
/dports/lang/ghc/ghc-8.10.7/compiler/nativeGen/SPARC/
H A DInstr.hs167 | XNOR Bool Reg RI Reg -- cc?, src1, src2, dst
239 XNOR _ r1 ar r2 -> usage (r1 : regRI ar, [r2])
306 XNOR b r1 ar r2 -> XNOR b (env r1) (fixRI ar) (env r2)
/dports/misc/mxnet/incubator-mxnet-1.9.0/3rdparty/tvm/python/tvm/relay/testing/
H A Ddarknet.py120 XNOR = 21 variable in LAYERTYPE
/dports/misc/tvm/incubator-tvm-0.6.1/python/tvm/relay/testing/
H A Ddarknet.py132 XNOR = 21 variable in LAYERTYPE
/dports/misc/py-tvm/incubator-tvm-0.6.1/python/tvm/relay/testing/
H A Ddarknet.py132 XNOR = 21 variable in LAYERTYPE
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/llvm/test/Transforms/InstSimplify/
H A DAndOrXor.ll753 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
754 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
770 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
771 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
787 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
788 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
804 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
805 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
/dports/devel/llvm11/llvm-11.0.1.src/test/Transforms/InstSimplify/
H A DAndOrXor.ll753 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
754 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
770 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
771 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
787 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
788 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
804 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
805 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
/dports/devel/llvm90/llvm-9.0.1.src/test/Transforms/InstSimplify/
H A DAndOrXor.ll857 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
858 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
874 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
875 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
891 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
892 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
908 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
909 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
/dports/devel/llvm12/llvm-project-12.0.1.src/llvm/test/Transforms/InstSimplify/
H A DAndOrXor.ll753 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
754 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
770 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
771 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
787 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
788 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
804 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
805 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
/dports/devel/llvm10/llvm-10.0.1.src/test/Transforms/InstSimplify/
H A DAndOrXor.ll857 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
858 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
874 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
875 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]
891 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
892 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[AND]], [[XNOR]]
908 ; CHECK-NEXT: [[XNOR:%.*]] = xor i32 [[XOR]], -1
909 ; CHECK-NEXT: [[OR:%.*]] = or i32 [[XNOR]], [[AND]]

12345678910>>...19