Home
last modified time | relevance | path

Searched refs:ZERO_DELAY (Results 1 – 4 of 4) sorted by relevance

/dports/cad/iverilog/verilog-11.0/
H A Dnetlist.cc2757 if ((a == NO_DELAY || a == ZERO_DELAY) && in combine_delays()
2758 (b == NO_DELAY || b == ZERO_DELAY)) { in combine_delays()
2759 result = ZERO_DELAY; in combine_delays()
2784 if (e->value().is_zero()) result = ZERO_DELAY; in delay_type_from_expr()
2789 if (e->value().as_double() == 0.0) result = ZERO_DELAY; in delay_type_from_expr()
2806 case ZERO_DELAY: in get_loop_delay_type()
2908 return ZERO_DELAY; in delay_type()
2926 return ZERO_DELAY; in delay_type()
2954 return combine_delays(ZERO_DELAY, in delay_type()
2957 return ZERO_DELAY; in delay_type()
H A Dnetlist.h2645 enum DelayType { NO_DELAY, ZERO_DELAY, POSSIBLE_DELAY, DEFINITE_DELAY }; enumerator
H A Delaborate.cc6399 if (dly_type == NO_DELAY || dly_type == ZERO_DELAY) { in check_proc_delay()
/dports/cad/cvc/cvc-1.1.0-4-gd172016/src/
H A DCvcTypes.hh155 #define ZERO_DELAY 0 macro