Home
last modified time | relevance | path

Searched refs:byte_out (Results 1 – 25 of 61) sorted by relevance

123

/dports/misc/vxl/vxl-3.3.2/contrib/tbl/vipl/tests/
H A Dvipl_test_histogram.cxx52 vnl_vector<unsigned int> byte_out(expected_n / scale + 1); in vipl_test_histogram() local
54 op.put_in_data_ptr(&byte_img); op.put_out_data_ptr(&byte_out); op.filter(); in vipl_test_histogram()
57 bool test_pass = byte_out(byte_out.size()-1) + ((expected_n-2)*(expected_n-1))/2 in vipl_test_histogram()
61 for (unsigned int i=0; i+2<byte_out.size(); ++i) in vipl_test_histogram()
62 if (byte_out(i) != i*2 + i*2+1) test_pass = false; in vipl_test_histogram()
64 std::cout << byte_out << std::endl; in vipl_test_histogram()
67 std::vector<unsigned int> byte_out(46); in vipl_test_histogram() local
69 op.put_in_data_ptr(&byte_img); op.put_out_data_ptr(&byte_out); op.filter(); in vipl_test_histogram()
72 bool test_pass = byte_out.back() + ((byte_out.size()-2)*(byte_out.size()-1))/2 in vipl_test_histogram()
76 for (unsigned int i=0; i+1<byte_out.size(); ++i) in vipl_test_histogram()
[all …]
/dports/graphics/jbigkit/jbigkit-2.1/libjbig/
H A Djbig_ar.c139 s->byte_out(MARKER_STUFF, s->file); in arith_encode_flush()
144 s->byte_out(0x00, s->file); in arith_encode_flush()
147 s->byte_out(s->buffer, s->file); in arith_encode_flush()
150 s->byte_out(0xff, s->file); in arith_encode_flush()
162 s->byte_out(MARKER_STUFF, s->file); in arith_encode_flush()
231 s->byte_out(s->buffer, s->file); in arith_encode()
233 s->byte_out(MARKER_STUFF, s->file); in arith_encode()
236 s->byte_out(0x00, s->file); in arith_encode()
246 s->byte_out(s->buffer, s->file); in arith_encode()
248 s->byte_out(0xff, s->file); in arith_encode()
[all …]
/dports/graphics/netpbm/netpbm-10.91.01/converter/other/jbig/libjbig/
H A Djbig_ar.c139 s->byte_out(MARKER_STUFF, s->file); in arith_encode_flush()
144 s->byte_out(0x00, s->file); in arith_encode_flush()
147 s->byte_out(s->buffer, s->file); in arith_encode_flush()
150 s->byte_out(0xff, s->file); in arith_encode_flush()
162 s->byte_out(MARKER_STUFF, s->file); in arith_encode_flush()
231 s->byte_out(s->buffer, s->file); in arith_encode()
233 s->byte_out(MARKER_STUFF, s->file); in arith_encode()
236 s->byte_out(0x00, s->file); in arith_encode()
246 s->byte_out(s->buffer, s->file); in arith_encode()
248 s->byte_out(0xff, s->file); in arith_encode()
[all …]
/dports/comms/liquid-dsp/liquid-dsp-1.3.2/src/fec/src/
H A Dfec_conv.c90 unsigned char byte_out=0; in fec_conv_encode() local
103 byte_out = (byte_out<<1) | parity(sr & _q->poly[r]); in fec_conv_encode()
104 _msg_enc[n/8] = byte_out; in fec_conv_encode()
117 byte_out = (byte_out<<1) | parity(sr & _q->poly[r]); in fec_conv_encode()
118 _msg_enc[n/8] = byte_out; in fec_conv_encode()
126 byte_out <<= 1; in fec_conv_encode()
127 _msg_enc[n/8] = byte_out; in fec_conv_encode()
H A Dfec_conv_punctured.c100 unsigned char byte_out=0; in fec_conv_punctured_encode() local
115 byte_out = (byte_out<<1) | parity(sr & _q->poly[r]); in fec_conv_punctured_encode()
116 _msg_enc[n/8] = byte_out; in fec_conv_punctured_encode()
137 byte_out = (byte_out<<1) | parity(sr & _q->poly[r]); in fec_conv_punctured_encode()
138 _msg_enc[n/8] = byte_out; in fec_conv_punctured_encode()
151 byte_out <<= 1; in fec_conv_punctured_encode()
152 _msg_enc[n/8] = byte_out; in fec_conv_punctured_encode()
/dports/print/ghostscript7-base/ghostscript-7.07/src/
H A Dgdevpsds.c406 ss->byte_out = 1; in s_IE_init()
427 uint byte_out = ss->byte_out; in s_IE_process() local
440 if (byte_out >= 0x100) { in s_IE_process()
445 *++q = (byte)byte_out; in s_IE_process()
446 byte_out = 1; in s_IE_process()
490 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
495 if (byte_out != 1) in s_IE_process()
496 while (byte_out < 0x100) in s_IE_process()
497 byte_out <<= 1; in s_IE_process()
507 ss->byte_out = byte_out; in s_IE_process()
/dports/print/ghostscript7-x11/ghostscript-7.07/src/
H A Dgdevpsds.c406 ss->byte_out = 1; in s_IE_init()
427 uint byte_out = ss->byte_out; in s_IE_process() local
440 if (byte_out >= 0x100) { in s_IE_process()
445 *++q = (byte)byte_out; in s_IE_process()
446 byte_out = 1; in s_IE_process()
490 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
495 if (byte_out != 1) in s_IE_process()
496 while (byte_out < 0x100) in s_IE_process()
497 byte_out <<= 1; in s_IE_process()
507 ss->byte_out = byte_out; in s_IE_process()
/dports/lang/sdcc/sdcc-4.0.0/device/lib/ds390/
H A Di2c390.c251 char byteptr, byte_out; in I2CSendStop() local
256 byte_out = addr & 0xfe; /* Ensure that it's a write address */ in I2CSendStop()
261 if (ByteOutI2C(byte_out)) in I2CSendStop()
266 byte_out = i2cTransmitBuffer[byteptr]; in I2CSendStop()
/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_stream_integer_type.v54 byte byte_out[4]; register
128 {<<8{byte_out}} = packed_data_32;
160 {<<byte{byte_out}} = packed_data_32;
201 … foreach (byte_in[i]) $display("byte_in[%0d]=%0h, byte_out=%0h ", i, byte_in[i], byte_out[i]);
240 …ach (byte_in[i]) $display(" %s byte_in[%0d]=%0h, byte_out=%0h ", name, i, byte_in[i], byte_out[i]);
302 …if (error_ == "") foreach (byte_in[i]) if (byte_in[i] !== byte_out[i]) error_ = "integer_atom_type…
/dports/print/ghostscript9-x11/ghostscript-9.06/base/
H A Dgdevpsds.c408 ss->byte_out = 1; in s_IE_init()
429 uint byte_out = ss->byte_out; in s_IE_process() local
442 if (byte_out >= 0x100) { in s_IE_process()
447 *++q = (byte)byte_out; in s_IE_process()
448 byte_out = 1; in s_IE_process()
492 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
497 if (byte_out != 1) in s_IE_process()
498 while (byte_out < 0x100) in s_IE_process()
499 byte_out <<= 1; in s_IE_process()
509 ss->byte_out = byte_out; in s_IE_process()
/dports/print/ghostscript9-base/ghostscript-9.06/base/
H A Dgdevpsds.c408 ss->byte_out = 1; in s_IE_init()
429 uint byte_out = ss->byte_out; in s_IE_process() local
442 if (byte_out >= 0x100) { in s_IE_process()
447 *++q = (byte)byte_out; in s_IE_process()
448 byte_out = 1; in s_IE_process()
492 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
497 if (byte_out != 1) in s_IE_process()
498 while (byte_out < 0x100) in s_IE_process()
499 byte_out <<= 1; in s_IE_process()
509 ss->byte_out = byte_out; in s_IE_process()
/dports/print/ghostscript8-base/ghostscript-8.71/base/
H A Dgdevpsds.c406 ss->byte_out = 1; in s_IE_init()
427 uint byte_out = ss->byte_out; in s_IE_process() local
440 if (byte_out >= 0x100) { in s_IE_process()
445 *++q = (byte)byte_out; in s_IE_process()
446 byte_out = 1; in s_IE_process()
490 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
495 if (byte_out != 1) in s_IE_process()
496 while (byte_out < 0x100) in s_IE_process()
497 byte_out <<= 1; in s_IE_process()
507 ss->byte_out = byte_out; in s_IE_process()
/dports/print/ghostscript8-x11/ghostscript-8.71/base/
H A Dgdevpsds.c406 ss->byte_out = 1; in s_IE_init()
427 uint byte_out = ss->byte_out; in s_IE_process() local
440 if (byte_out >= 0x100) { in s_IE_process()
445 *++q = (byte)byte_out; in s_IE_process()
446 byte_out = 1; in s_IE_process()
490 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
495 if (byte_out != 1) in s_IE_process()
496 while (byte_out < 0x100) in s_IE_process()
497 byte_out <<= 1; in s_IE_process()
507 ss->byte_out = byte_out; in s_IE_process()
/dports/print/limereport/LimeReport-1.5.35/3rdparty/zint-2.6.1/backend/
H A Dgif.c267 int byte_out; in gif_pixel_plot() local
386 byte_out = gif_lzw( in gif_pixel_plot()
391 if (byte_out <= 0) { in gif_pixel_plot()
395 fwrite(lzwoutbuf, byte_out, 1, gif_file); in gif_pixel_plot()
/dports/cad/ecpprog/ecpprog-2b3e8eaba8faae5ae175ccec36b31e67d24244f7/ecpprog/
H A Djtag_tap.c169 uint8_t byte_out = input_data[i]; in _jtag_tap_shift() local
176 jtag_pulse_clock_and_read_tdo(tms, byte_out & 1); in _jtag_tap_shift()
177 byte_out >>= 1; in _jtag_tap_shift()
/dports/print/ghostscript9-agpl-x11/ghostscript-9.52/devices/vector/
H A Dgdevpsds.c442 ss->byte_out = 1; in s_IE_init()
463 uint byte_out = ss->byte_out; in s_IE_process() local
476 if (byte_out >= 0x100) { in s_IE_process()
481 *++q = (byte)byte_out; in s_IE_process()
482 byte_out = 1; in s_IE_process()
526 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
531 if (byte_out != 1) in s_IE_process()
532 while (byte_out < 0x100) in s_IE_process()
533 byte_out <<= 1; in s_IE_process()
543 ss->byte_out = byte_out; in s_IE_process()
/dports/print/ghostscript9-agpl-base/ghostscript-9.52/devices/vector/
H A Dgdevpsds.c442 ss->byte_out = 1; in s_IE_init()
463 uint byte_out = ss->byte_out; in s_IE_process() local
476 if (byte_out >= 0x100) { in s_IE_process()
481 *++q = (byte)byte_out; in s_IE_process()
482 byte_out = 1; in s_IE_process()
526 byte_out = (byte_out << ss->BitsPerIndex) + index / num_components; in s_IE_process()
531 if (byte_out != 1) in s_IE_process()
532 while (byte_out < 0x100) in s_IE_process()
533 byte_out <<= 1; in s_IE_process()
543 ss->byte_out = byte_out; in s_IE_process()
/dports/sysutils/lizardfs/lizardfs-3.12.0/external/crcutil-1.0/code/
H A Drolling_crc.h56 Crc Roll(const Crc &old_crc, size_t byte_out, size_t byte_in) const { in Roll() argument
57 return (old_crc >> 8) ^ in_[TO_BYTE(old_crc) ^ byte_in] ^ out_[byte_out]; in Roll()
/dports/science/pnetcdf/parallel-netcdf-1.8.1/test/F90/
H A Df90tst_parallel3.f9050 integer(kind=OneByteInt) :: byte_out(HALF_NY, HALF_NX), byte_in(HALF_NY, HALF_NX) variable
85 byte_out(y, x) = INT(my_rank,1) * (-1_1)
131 call check(nf90mpi_put_var_all(ncid, varid(1), byte_out, start = start, count = count))
/dports/science/netcdf-fortran/netcdf-fortran-4.5.3/nf03_test4/
H A Df90tst_fill.f9027 integer :: byte_out(HALF_NY, HALF_NX), byte_in(NY, NX) variable
45 byte_out(y, x) = -1
72 call handle_err(nf90_put_var(ncid, varid(1), byte_out, start = start, count = count_out))
H A Df90tst_fill2.f9028 integer :: byte_out(HALF_NY, HALF_NX), byte_in(NY, NX) variable
47 byte_out(y, x) = -1
74 call handle_err(nf90_put_var(ncid, varid(1), byte_out, start = start_out, count = count_out))
H A Df90tst_parallel_fill.f9031 integer :: byte_out(HALF_NY, HALF_NX), byte_in(HALF_NY, HALF_NX) variable
63 byte_out(y, x) = -1
113 call check(nf90_put_var(ncid, varid(1), byte_out, start = start_out, count = count_out))
/dports/net/vtun/vtun-3.0.4/
H A Dlinkfd.c199 lfd_host->stat.byte_in, lfd_host->stat.byte_out, in sig_alarm()
214 lfd_host->stat.byte_in = lfd_host->stat.byte_out = 0; in sig_usr1()
278 lfd_host->stat.byte_out += tmplen; in lfd_linker()
340 lfd_host->stat.byte_out += len; in lfd_linker()
/dports/multimedia/schroedinger/schroedinger-1.0.11/testsuite/coder/
H A Darith_qm.c139 byte_out (Arith *coder) in byte_out() function
167 byte_out(coder); in renorm_e()
/dports/graphics/zint/zint-2.10.0-src/backend/
H A Dgif.c279 int byte_out; in gif_pixel_plot() local
589 byte_out = gif_lzw(&State, paletteBitSize); in gif_pixel_plot()
590 if (byte_out <= 0) { in gif_pixel_plot()
597 fwrite(lzwoutbuf, byte_out, 1, gif_file); in gif_pixel_plot()

123