Home
last modified time | relevance | path

Searched refs:cntlr (Results 1 – 18 of 18) sorted by relevance

/dports/comms/ser2net/ser2net-3.5.1/
H A Dcontroller.c180 data_monitor_stop(cntlr, cntlr->monitor_port_id); in shutdown_controller()
211 cntlr->outbuf[i] = cntlr->outbuf[cntlr->outbuf_pos + i]; in controller_output()
232 &(cntlr->outbuf[cntlr->outbuf_pos]), in controller_output()
404 data_monitor_stop(cntlr, cntlr->monitor_port_id); in process_input_line()
522 cntlr->inbuf[j] = cntlr->inbuf[j + count]; in remove_chars()
551 &(cntlr->inbuf[cntlr->inbuf_count]), in handle_tcp_fd_read()
616 cntlr->inbuf[j] = cntlr->inbuf[i]; in handle_tcp_fd_read()
664 &(cntlr->outbuf[cntlr->outbuf_pos]), in handle_tcp_fd_write()
736 cntlr = malloc(sizeof(*cntlr)); in handle_accept_port_read()
741 memset(cntlr, 0, sizeof(*cntlr)); in handle_accept_port_read()
[all …]
H A Ddataxfer.h66 void showports(struct controller_info *cntlr, char *portspec);
69 void showshortports(struct controller_info *cntlr, char *portspec);
74 void setporttimeout(struct controller_info *cntlr,
81 void setportdevcfg(struct controller_info *cntlr,
86 void setportcontrol(struct controller_info *cntlr,
93 void setportenable(struct controller_info *cntlr,
101 void *data_monitor_start(struct controller_info *cntlr,
106 void data_monitor_stop(struct controller_info *cntlr,
110 void disconnect_port(struct controller_info *cntlr,
H A Dcontroller.h41 void controller_output(struct controller_info *cntlr,
46 int controller_outputf(struct controller_info *cntlr,
51 int controller_voutputf(struct controller_info *cntlr,
55 void controller_write(struct controller_info *cntlr,
59 void controller_outs (struct controller_info *cntlr, char *s);
H A Ddataxfer.c3740 controller_outs(cntlr, " "); in showshortport()
3890 showport(cntlr, port); in showports()
3900 showport(cntlr, port); in showports()
3912 controller_outputf(cntlr, in showshortports()
4081 controller_outs(cntlr, err); in data_monitor_start()
4089 controller_outs(cntlr, err); in data_monitor_start()
4095 port->net_monitor = cntlr; in data_monitor_start()
4097 port->dev_monitor = cntlr; in data_monitor_start()
4100 controller_outs(cntlr, err); in data_monitor_start()
4145 controller_outs(cntlr, err); in disconnect_port()
[all …]
/dports/emulators/simh-hpdoc/simh-hpdoc-3.11.0/SCP/HP3000/
H A Dhp_disclib.h469 #define DL_REGS(cntlr,units,numunits,buffer,times) \ argument
472 { ORDATA (OPCODE, (cntlr).opcode, 5), REG_RO }, \
473 { ORDATA (CSTATS, (cntlr).status, 5), REG_RO }, \
474 { DRDATA (CSTATE, (cntlr).state, 2), PV_LEFT | REG_RO }, \
475 { FLDATA (EOC, (cntlr).eoc, 0) }, \
476 { FLDATA (VERIFY, (cntlr).verify, 0) }, \
477 { ORDATA (SPDU, (cntlr).spd_unit, 16) }, \
478 { ORDATA (FLMASK, (cntlr).file_mask, 4) }, \
479 { DRDATA (CYL, (cntlr).cylinder, 16), PV_LEFT }, \
480 { DRDATA (HEAD, (cntlr).head, 6), PV_LEFT }, \
[all …]
H A Dhp_tapelib.h416 #define TL_REGS(cntlr,units,numunits,buffer,times) \ argument
419 …{ DRDATA (CSTATE, (cntlr).state, 4), PV_LEFT | REG_RO }…
420 …{ ORDATA (STATUS, (cntlr).status, 16), REG_RO }…
421 …{ DRDATA (USEL, (cntlr).unit_selected, 4), PV_LEFT | REG_RO }…
422 …{ YRDATA (UATTN, (cntlr).unit_attention, 4, PV_RZRO) }…
424 …{ DRDATA (LIBSTA, (cntlr).call_status, 16), PV_LEFT }…
425 …{ DRDATA (LENGTH, (cntlr).length, 24), PV_LEFT }…
426 …{ DRDATA (INDEX, (cntlr).index, 24), PV_LEFT }…
427 …{ DRDATA (GAPLEN, (cntlr).gaplen, 32), PV_LEFT }…
428 …{ DRDATA (INPOS, (cntlr).initial_position, T_ADDR_W), PV_LEFT }…
[all …]
/dports/emulators/simh-hp3000/simh-hp3000-3.11.0.10/SCP/HP3000/
H A Dhp_disclib.h470 #define DL_REGS(cntlr,units,numunits,buffer,times) \ argument
473 { ORDATA (OPCODE, (cntlr).opcode, 5), REG_RO }, \
474 { ORDATA (CSTATS, (cntlr).status, 5), REG_RO }, \
475 { DRDATA (CSTATE, (cntlr).state, 2), PV_LEFT | REG_RO }, \
476 { FLDATA (EOC, (cntlr).eoc, 0) }, \
477 { FLDATA (VERIFY, (cntlr).verify, 0) }, \
478 { ORDATA (SPDU, (cntlr).spd_unit, 16) }, \
479 { ORDATA (FLMASK, (cntlr).file_mask, 4) }, \
480 { DRDATA (CYL, (cntlr).cylinder, 16), PV_LEFT }, \
481 { DRDATA (HEAD, (cntlr).head, 6), PV_LEFT }, \
[all …]
H A Dhp_tapelib.h417 #define TL_REGS(cntlr,units,numunits,buffer,times) \ argument
420 …{ DRDATA (CSTATE, (cntlr).state, 4), PV_LEFT | REG_RO …
421 …{ ORDATA (STATUS, (cntlr).status, 16), REG_RO …
422 …{ DRDATA (USEL, (cntlr).unit_selected, 4), PV_LEFT | REG_RO …
423 …{ YRDATA (UATTN, (cntlr).unit_attention, 4), PV_RZRO …
425 …{ DRDATA (LIBSTA, (cntlr).call_status, 16), PV_LEFT …
426 …{ DRDATA (LENGTH, (cntlr).length, 24), PV_LEFT …
427 …{ DRDATA (INDEX, (cntlr).index, 24), PV_LEFT …
428 …{ DRDATA (GAPLEN, (cntlr).gaplen, 32), PV_LEFT …
429 …{ DRDATA (INPOS, (cntlr).initial_position, T_ADDR_W), PV_LEFT …
[all …]
/dports/emulators/simh/simh-3.9.0_5/HP2100/
H A Dhp_disclib.c1596 CNTLR_CLASS dl_classify (CNTLR_VARS cntlr) in dl_classify() argument
1598 if (cntlr.type <= last_type /* if the controller type is legal */ in dl_classify()
1599 && cntlr.opcode <= last_opcode /* and the opcode is legal */ in dl_classify()
1600 && cmd_props [cntlr.opcode].valid [cntlr.type]) /* and is defined for this controller, */ in dl_classify()
1601 …return cmd_props [cntlr.opcode].classification; /* then return the command classification … in dl_classify()
H A Dhp_disclib.h378 extern CNTLR_CLASS dl_classify (CNTLR_VARS cntlr);
/dports/emulators/simh-hp2100/simh-hp2100-3.11.0.31/SCP/HP2100/
H A Dhp2100_disclib.c1611 CNTLR_CLASS dl_classify (CNTLR_VARS cntlr) in dl_classify() argument
1613 if (cntlr.type <= last_type /* if the controller type is legal */ in dl_classify()
1614 && cntlr.opcode <= Last_Opcode /* and the opcode is legal */ in dl_classify()
1615 && cmd_props [cntlr.opcode].valid [cntlr.type]) /* and is defined for this controller, */ in dl_classify()
1616 …return cmd_props [cntlr.opcode].classification; /* then return the command classification … in dl_classify()
H A Dhp2100_disclib.h380 extern CNTLR_CLASS dl_classify (CNTLR_VARS cntlr);
/dports/emulators/simh-hpdoc/simh-hpdoc-3.11.0/SCP/HP2100/
H A Dhp2100_disclib.c1609 CNTLR_CLASS dl_classify (CNTLR_VARS cntlr) in dl_classify() argument
1611 if (cntlr.type <= last_type /* if the controller type is legal */ in dl_classify()
1612 && cntlr.opcode <= Last_Opcode /* and the opcode is legal */ in dl_classify()
1613 && cmd_props [cntlr.opcode].valid [cntlr.type]) /* and is defined for this controller, */ in dl_classify()
1614 …return cmd_props [cntlr.opcode].classification; /* then return the command classification … in dl_classify()
H A Dhp2100_disclib.h379 extern CNTLR_CLASS dl_classify (CNTLR_VARS cntlr);
/dports/devel/plan9port/plan9port-1f098efb7370a0b28306d10681e21883fb1c1507/src/cmd/draw/
H A Dtweak.c148 Rectangle cntlr; /* control region */ variable
297 cntlr = insetrect(screen->clipr, 1); in eresized()
298 editr = cntlr; in eresized()
301 cntlr.max.y = cntlr.min.y + font->height; in eresized()
302 editr.min.y = cntlr.max.y+1; in eresized()
365 mesgstr(cntlr.min, 0, buf); in cntl()
1622 if(ptinrect(mouse.xy, cntlr)){ in xselect()
1624 if(atline(cntlr.min.x, mouse.xy, line, buf)){ in xselect()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/mailbox/
H A Dbcm-flexrm-mailbox.c1455 static struct mbox_chan *flexrm_mbox_of_xlate(struct mbox_controller *cntlr, in flexrm_mbox_of_xlate() argument
1464 if (pa->args[0] >= cntlr->num_chans) in flexrm_mbox_of_xlate()
1473 chan = &cntlr->chans[pa->args[0]]; in flexrm_mbox_of_xlate()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/mailbox/
H A Dbcm-flexrm-mailbox.c1455 static struct mbox_chan *flexrm_mbox_of_xlate(struct mbox_controller *cntlr, in flexrm_mbox_of_xlate() argument
1464 if (pa->args[0] >= cntlr->num_chans) in flexrm_mbox_of_xlate()
1473 chan = &cntlr->chans[pa->args[0]]; in flexrm_mbox_of_xlate()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/mailbox/
H A Dbcm-flexrm-mailbox.c1455 static struct mbox_chan *flexrm_mbox_of_xlate(struct mbox_controller *cntlr, in flexrm_mbox_of_xlate() argument
1464 if (pa->args[0] >= cntlr->num_chans) in flexrm_mbox_of_xlate()
1473 chan = &cntlr->chans[pa->args[0]]; in flexrm_mbox_of_xlate()