Home
last modified time | relevance | path

Searched refs:col_w (Results 1 – 25 of 63) sorted by relevance

123

/dports/games/simutrans/simutrans-121.0/gui/components/
H A Dgui_aligned_container.cc205 col_w.clear(); in compute_sizes()
255 col_w.append(s.w); in compute_sizes()
258 col_w[c % columns] = s.w; in compute_sizes()
266 col_w.append(0); in compute_sizes()
280 w += col_w[j] + spacing.w; in compute_sizes()
287 col_w[j % columns] = s.w; in compute_sizes()
318 w = max(col_w[i], w); in compute_sizes()
321 col_w[i] = w; in compute_sizes()
427 compute_sizes(col_w, row_h, false); in get_min_size()
432 return get_size(col_w, row_h); in get_min_size()
[all …]
/dports/net/wireshark/wireshark-3.6.1/ui/
H A Drecent.c1469 col_width_data *col_w; in recent_get_column_width() local
1481 if (col_w->cfmt == cfmt) { in recent_get_column_width()
1498 col_width_data *col_w; in recent_set_column_width() local
1511 if (col_w->cfmt == cfmt) { in recent_set_column_width()
1523 col_w->cfmt = cfmt; in recent_set_column_width()
1525 col_w->width = width; in recent_set_column_width()
1535 col_width_data *col_w; in recent_get_column_xalign() local
1564 col_width_data *col_w; in recent_set_column_xalign() local
1589 col_w->cfmt = cfmt; in recent_set_column_xalign()
1591 col_w->width = 40; in recent_set_column_xalign()
[all …]
/dports/net/wireshark-lite/wireshark-3.6.1/ui/
H A Drecent.c1469 col_width_data *col_w; in recent_get_column_width() local
1481 if (col_w->cfmt == cfmt) { in recent_get_column_width()
1498 col_width_data *col_w; in recent_set_column_width() local
1511 if (col_w->cfmt == cfmt) { in recent_set_column_width()
1523 col_w->cfmt = cfmt; in recent_set_column_width()
1525 col_w->width = width; in recent_set_column_width()
1535 col_width_data *col_w; in recent_get_column_xalign() local
1564 col_width_data *col_w; in recent_set_column_xalign() local
1589 col_w->cfmt = cfmt; in recent_set_column_xalign()
1591 col_w->width = 40; in recent_set_column_xalign()
[all …]
/dports/net/tshark-lite/wireshark-3.6.1/ui/
H A Drecent.c1469 col_width_data *col_w; in recent_get_column_width() local
1481 if (col_w->cfmt == cfmt) { in recent_get_column_width()
1498 col_width_data *col_w; in recent_set_column_width() local
1511 if (col_w->cfmt == cfmt) { in recent_set_column_width()
1523 col_w->cfmt = cfmt; in recent_set_column_width()
1525 col_w->width = width; in recent_set_column_width()
1535 col_width_data *col_w; in recent_get_column_xalign() local
1564 col_width_data *col_w; in recent_set_column_xalign() local
1589 col_w->cfmt = cfmt; in recent_set_column_xalign()
1591 col_w->width = 40; in recent_set_column_xalign()
[all …]
/dports/net/tshark/wireshark-3.6.1/ui/
H A Drecent.c1469 col_width_data *col_w; in recent_get_column_width() local
1481 if (col_w->cfmt == cfmt) { in recent_get_column_width()
1498 col_width_data *col_w; in recent_set_column_width() local
1511 if (col_w->cfmt == cfmt) { in recent_set_column_width()
1523 col_w->cfmt = cfmt; in recent_set_column_width()
1525 col_w->width = width; in recent_set_column_width()
1535 col_width_data *col_w; in recent_get_column_xalign() local
1564 col_width_data *col_w; in recent_set_column_xalign() local
1589 col_w->cfmt = cfmt; in recent_set_column_xalign()
1591 col_w->width = 40; in recent_set_column_xalign()
[all …]
/dports/biology/py-ete3/ete3-3.1.2/examples/treeview/
H A Dnew_seq_face.py111 codon=None, col_w=11, alt_col_w=3, argument
117 self.col_w = float(col_w)
157 width = self.col_w
226 col_w=11, interactive=True)
240 col_w=11, interactive=False)
257 col_w=11, interactive=True)
272 col_w=11, interactive=True)
/dports/net-mgmt/librenms/librenms-21.5.1/includes/html/graphs/device/
H A Dsensor.inc.php5 $col_w = 7 + strlen($unit); variable
6 … .= " COMMENT:'" . str_pad($unit_long, 19) . str_pad('Cur', $col_w) . str_pad('Min', $col_w) . "Ma…
H A Dwireless-sensor.inc.php49 $col_w = 7 + strlen($unit); variable
50 … .= " COMMENT:'" . str_pad($unit_long, 35) . str_pad('Cur', $col_w) . str_pad('Min', $col_w) . "Ma…
/dports/net-mgmt/librenms/librenms-21.5.1/includes/html/graphs/wireless/
H A Dwireless-sensor.inc.php33 $col_w = 7 + strlen($unit); variable
36 … .= " COMMENT:'" . str_pad($unit_long, 35) . str_pad('Cur', $col_w) . str_pad('Min', $col_w) . "Ma…
/dports/biology/py-ete3/ete3-3.1.2/ete3/test/test_treeview/
H A Dnew_seq_face.py102 col_w=11, interactive=True)
116 col_w=11, interactive=False)
133 col_w=11, interactive=True)
148 col_w=11, interactive=True)
/dports/net/wireshark-lite/wireshark-3.6.1/ui/cli/
H A Dtap-iostat.c569 column_width *col_w; in iostat_draw() local
576 col_w = g_new(column_width, num_cols); in iostat_draw()
679 col_w[j].fr = fr_mag; in iostat_draw()
680 tabrow_w += col_w[j].fr + 3; in iostat_draw()
690 col_w[j].val = val_mag; in iostat_draw()
691 tabrow_w += (col_w[j].val + 3); in iostat_draw()
705 col_w[j].val = val_mag; in iostat_draw()
737 col_w[j].val = val_mag; in iostat_draw()
758 tabrow_w += col_w[j].val + 3; in iostat_draw()
904 spaces_s = &spaces[borderlen - (col_w[j].fr + col_w[j].val)] - 3; in iostat_draw()
[all …]
/dports/net/wireshark/wireshark-3.6.1/ui/cli/
H A Dtap-iostat.c569 column_width *col_w; in iostat_draw() local
576 col_w = g_new(column_width, num_cols); in iostat_draw()
679 col_w[j].fr = fr_mag; in iostat_draw()
680 tabrow_w += col_w[j].fr + 3; in iostat_draw()
690 col_w[j].val = val_mag; in iostat_draw()
691 tabrow_w += (col_w[j].val + 3); in iostat_draw()
705 col_w[j].val = val_mag; in iostat_draw()
737 col_w[j].val = val_mag; in iostat_draw()
758 tabrow_w += col_w[j].val + 3; in iostat_draw()
904 spaces_s = &spaces[borderlen - (col_w[j].fr + col_w[j].val)] - 3; in iostat_draw()
[all …]
/dports/net/tshark-lite/wireshark-3.6.1/ui/cli/
H A Dtap-iostat.c569 column_width *col_w;
576 col_w = g_new(column_width, num_cols);
679 col_w[j].fr = fr_mag;
680 tabrow_w += col_w[j].fr + 3;
690 col_w[j].val = val_mag;
691 tabrow_w += (col_w[j].val + 3);
705 col_w[j].val = val_mag;
737 col_w[j].val = val_mag;
758 tabrow_w += col_w[j].val + 3;
904 spaces_s = &spaces[borderlen - (col_w[j].fr + col_w[j].val)] - 3;
[all …]
/dports/net/tshark/wireshark-3.6.1/ui/cli/
H A Dtap-iostat.c569 column_width *col_w; in iostat_draw() local
576 col_w = g_new(column_width, num_cols); in iostat_draw()
679 col_w[j].fr = fr_mag; in iostat_draw()
680 tabrow_w += col_w[j].fr + 3; in iostat_draw()
690 col_w[j].val = val_mag; in iostat_draw()
691 tabrow_w += (col_w[j].val + 3); in iostat_draw()
705 col_w[j].val = val_mag; in iostat_draw()
737 col_w[j].val = val_mag; in iostat_draw()
758 tabrow_w += col_w[j].val + 3; in iostat_draw()
904 spaces_s = &spaces[borderlen - (col_w[j].fr + col_w[j].val)] - 3; in iostat_draw()
[all …]
/dports/graphics/blender/blender-2.91.0/release/scripts/addons/blenderkit/
H A Dsearch.py520 col_w = 40
526 t = writeblock(t, mdata['name'], width=col_w)
529 t = writeblockm(t, mdata, key='description', pretext='', width=col_w)
545 t = writeblockm(t, mparams, key='designer', pretext='designer', width=col_w)
546 t = writeblockm(t, mparams, key='manufacturer', pretext='manufacturer', width=col_w)
556 t = writeblockm(t, mparams, key='pbrType', pretext='pbr', width=col_w)
558 t = writeblockm(t, mparams, key='designYear', pretext='design year', width=col_w)
598 t = writeblockm(t, mdata, key='license', width=col_w)
640 col_w = 40
646 t = writeblockm(t, adata, key='aboutMeUrl', pretext='', width=col_w)
[all …]
/dports/devel/emscripten/emscripten-2.0.3/tests/third_party/bullet/Extras/glui/
H A Dglui_control.cpp376 int col_x, col_y, col_w, col_h, col_x_off, col_y_off; in align() local
387 get_this_column_dims(&col_x, &col_y, &col_w, &col_h, in align()
408 x_abs = col_x + col_w - col_x_off - this->w; in align()
411 x_abs = col_x + (col_w - this->w) / 2; in align()
533 int *col_w, int *col_h, in get_this_column_dims() argument
581 *col_w = max_w; in get_this_column_dims()
602 *col_w = node->w; in get_this_column_dims()
619 *col_w = node->x_abs - parent_ptr->x_abs; in get_this_column_dims()
633 *col_w = parent_ptr->w; in get_this_column_dims()
/dports/devel/emscripten/emscripten-2.0.3/tests/third_party/box2d/glui/
H A Dglui_control.cpp376 int col_x, col_y, col_w, col_h, col_x_off, col_y_off; in align() local
387 get_this_column_dims(&col_x, &col_y, &col_w, &col_h, in align()
408 x_abs = col_x + col_w - col_x_off - this->w; in align()
411 x_abs = col_x + (col_w - this->w) / 2; in align()
533 int *col_w, int *col_h, in get_this_column_dims() argument
581 *col_w = max_w; in get_this_column_dims()
602 *col_w = node->w; in get_this_column_dims()
619 *col_w = node->x_abs - parent_ptr->x_abs; in get_this_column_dims()
633 *col_w = parent_ptr->w; in get_this_column_dims()
/dports/devel/glui/glui-2.36/src/
H A Dglui_control.cpp378 int col_x, col_y, col_w, col_h, col_x_off, col_y_off; in align() local
389 get_this_column_dims(&col_x, &col_y, &col_w, &col_h, in align()
410 x_abs = col_x + col_w - col_x_off - this->w; in align()
413 x_abs = col_x + (col_w - this->w) / 2; in align()
535 int *col_w, int *col_h, in get_this_column_dims() argument
583 *col_w = max_w; in get_this_column_dims()
604 *col_w = node->w; in get_this_column_dims()
621 *col_w = node->x_abs - parent_ptr->x_abs; in get_this_column_dims()
635 *col_w = parent_ptr->w; in get_this_column_dims()
/dports/sysutils/s-tui/s-tui-1.0.0-2-gfb91ef6/s_tui/sturwid/
H A Dsummary_text_list.py47 col_w = urwid.Columns([('weight', 1.5, label_w), value_w])
54 summery_text_list.append(col_w)
/dports/audio/faust/faust-2.37.3/tools/physicalModeling/mesh2faust/vega/libraries/glui/glui-2.35/src/
H A Dglui_control.cpp376 int col_x, col_y, col_w, col_h, col_x_off, col_y_off; in align() local
387 get_this_column_dims(&col_x, &col_y, &col_w, &col_h, in align()
408 x_abs = col_x + col_w - col_x_off - this->w; in align()
411 x_abs = col_x + (col_w - this->w) / 2; in align()
533 int *col_w, int *col_h, in get_this_column_dims() argument
581 *col_w = max_w; in get_this_column_dims()
602 *col_w = node->w; in get_this_column_dims()
619 *col_w = node->x_abs - parent_ptr->x_abs; in get_this_column_dims()
633 *col_w = parent_ptr->w; in get_this_column_dims()
/dports/audio/mikmod/mikmod-3.2.8/src/
H A Dmconfedit.c203 WID_COLORSEL *col_w; member
388 if (data->col_w) in theme_get_attrs()
389 *attr = data->col_w->active; in theme_get_attrs()
410 if (data->col_w) { in theme_set_attrs()
411 wid_colorsel_set_active((WID_COLORSEL*)data->col_w, in theme_set_attrs()
414 wid_repaint ((WIDGET*)data->col_w); in theme_set_attrs()
531 data->col_w = (WID_COLORSEL*)wid_colorsel_add(d, 1, "sdex", 0); in theme_edit()
532 wid_set_func((WIDGET*)data->col_w, NULL, cb_focus, data); in theme_edit()
535 data->col_w = NULL; in theme_edit()
/dports/x11-wm/e16/e16-1.0.24/dox/
H A Dformat.c547 CalcOffset(Page * pg, int col_w, int x, int y, int th, int *pxspace, int *poff) in CalcOffset() argument
557 ssx = sx + col_w - 1; in CalcOffset()
612 int i, col_w; in RenderPage() local
623 col_w = ((w - (pg->padding * (pg->columns + 1))) / pg->columns); in RenderPage()
821 CalcOffset(pg, col_w, x, y, ts.height, &xspace, &off); in RenderPage()
876 x += col_w + pg->padding; in RenderPage()
878 CalcOffset(pg, col_w, x, y, ts.height, in RenderPage()
947 x += col_w + pg->padding; in RenderPage()
965 x += col_w + pg->padding; in RenderPage()
/dports/emulators/mess/mame-mame0226/src/mame/drivers/
H A Dvd.cpp41 void col_w(uint8_t data);
90 map(0xc0, 0xc0).w(FUNC(vd_state::col_w)); in vd_io()
171 void vd_state::col_w(uint8_t data) in col_w() function in vd_state
/dports/emulators/mame/mame-mame0226/src/mame/drivers/
H A Dvd.cpp41 void col_w(uint8_t data);
90 map(0xc0, 0xc0).w(FUNC(vd_state::col_w)); in vd_io()
171 void vd_state::col_w(uint8_t data) in col_w() function in vd_state
/dports/x11-wm/durden/durden-0.6.1/durden/widgets/support/
H A Dtext.lua164 show = function(ctx, anchor, tbl, start_i, stop_i, col_w, ofs)
169 local col_cnt = col_w and math.floor(props.width / col_w) or 1;

123