Home
last modified time | relevance | path

Searched refs:counter1 (Results 1 – 25 of 614) sorted by relevance

12345678910>>...25

/dports/biology/hyphy/hyphy-2.5.33/res/TemplateBatchFiles/
H A DdNdSResultProcessor.bf94 for (counter1 = 0; counter1 < Columns (marginalMatrix); counter1=counter1+1)
119 for (counter1=0; counter1 < divTerm; counter1 = counter1+1)
135 for (counter1 = 0; counter1 < Columns (marginalMatrix); counter1=counter1+1)
167 for (counter1 = 1; counter1 <= Columns(distribMatrixN); counter1 = counter1+1)
233 for (counter1 = 0; counter1 < Columns (labelMatrix); counter1 = counter1+1)
319 for (counter1 = 0; counter1 < Columns (marginalMatrix); counter1=counter1+1)
542 for (counter1=0; counter1<Columns(distribMXR); counter1=counter1+1)
613 for (counter1 = 0; counter1 < E; counter1 = counter1+1)
1033 for (counter1=0; counter1<Columns(titleMatrix); counter1 = counter1+1)
1051 for (counter1=0; counter1<Columns(titleMatrix); counter1 = counter1+1)
[all …]
H A DWANC.bf93 for (counter1=0; counter1<Columns(titleMatrix); counter1 = counter1+1)
95 counter2 = Abs (titleMatrix[0][counter1])+2;
100 columnWidths[0][counter1] = counter2;
111 for (counter1=0; counter1<Columns(titleMatrix); counter1 = counter1+1)
113 fprintf (stdout, titleMatrix[counter1]);
114 dummy = PadString (columnWidths[0][counter1]-Abs(titleMatrix[counter1])," ");
120 for (counter1=-1; counter1<Rows(dataMatrix); counter1 = counter1 + 1)
122 if (counter1>=0)
159 for (counter1=1; counter1<Columns(titleMatrix); counter1 = counter1+1)
164 for (counter1=0; counter1<Rows(dataMatrix); counter1 = counter1 + 1)
[all …]
H A DReduceDataSetMatrix.bf51 for (counter1=0; counter1<Columns(titleMatrix); counter1 = counter1+1)
53 counter2 = Abs (titleMatrix[0][counter1])+2;
58 columnWidths[0][counter1] = counter2;
69 for (counter1=0; counter1<Columns(titleMatrix); counter1 = counter1+1)
71 fprintf (stdout, titleMatrix[counter1]);
72 dummy = PadString (columnWidths[0][counter1]-Abs(titleMatrix[counter1])," ");
78 for (counter1=-1; counter1<Rows(dataMatrix); counter1 = counter1 + 1)
80 if (counter1>=0)
83 fprintf (stdout,Format(counter1+1,columnWidths[0][0],0));
87 fprintf (stdout,Format(dataMatrix[counter1][counter2-1],columnWidths[0][counter2],-1));
/dports/editors/texstudio/texstudio-4.1.2/completion/
H A Dxassoccnt.cwl25 \NewDocumentCounter{counter1,counter2,...}
29 \DeclareDocumentCounter{counter1,counter2,...}
49 \SwapDocumentCounters{counter1}{counter2}
84 \LoopResetCounters{counter1,counter2,...}
85 \LoopRefstepCounters{counter1,counter2,...}
86 \LoopSetCounters{counter1,counter2,...}{value}
87 \LoopStepCounters{counter1,counter2,...}
152 \DeclareCoupledCounters{counter1,counter2,...}
161 \RemoveCoupledCounters{counter1,counter2,...}
168 \AddCoupledCounters{counter1,counter2,...}
[all …]
/dports/biology/hyphy/hyphy-2.5.33/res/TemplateBatchFiles/TemplateModels/
H A DEIAA.mdl42 for (counter1=0; counter1<19;counter1=counter1+1)
44 for (counter2=counter1+1; counter2<20; counter2=counter2+1)
46 ModelMatrixName[counter1][counter2]:=t;
47 ModelMatrixName[counter2][counter1]:=t;
53 for (counter1=0; counter1<19;counter1=counter1+1)
55 for (counter2=counter1+1; counter2<20; counter2=counter2+1)
57 ModelMatrixName[counter1][counter2]:=c*t;
58 ModelMatrixName[counter2][counter1]:=c*t;
H A DEIAAFreq.mdl63 for (counter1=0; counter1<19;counter1=counter1+1)
65 for (counter2=counter1+1; counter2<20; counter2=counter2+1)
67 ModelMatrixName[counter1][counter2]:=a;
68 ModelMatrixName[counter2][counter1]:=a;
74 for (counter1=0; counter1<19;counter1=counter1+1)
76 for (counter2=counter1+1; counter2<20; counter2=counter2+1)
78 ModelMatrixName[counter1][counter2]:=c*a;
79 ModelMatrixName[counter2][counter1]:=c*a;
/dports/games/libretro-bluemsx/blueMSX-libretro-faf470e/Src/IoDevice/
H A DI8254.c451 Counter* counter1; member
460 return counterPeek(i8254->counter1); in i8254Peek()
473 return counterRead(i8254->counter1); in i8254Read()
486 counterWrite(i8254->counter1, value); in i8254Write()
497 if (~value & 0x10) counterLatchOutput(i8254->counter1); in i8254Write()
512 counterSetControl(i8254->counter1, value & 0x3f); in i8254Write()
597 if (i8254->counter1->time != 0) { in i8254LoadState()
598 boardTimerAdd(i8254->counter1->timer, i8254->counter1->time); in i8254LoadState()
685 counterReset(i8254->counter1); in i8254Reset()
699 counterSetGate(i8254->counter1, state); in i8254SetGate()
[all …]
/dports/graphics/glslang/glslang-11.7.1/Test/
H A Dvk.relaxed.frag38 j = atomicCounterIncrement(counter1);
39 j = atomicCounterDecrement(counter1);
40 j = atomicCounter(counter1);
42 j = atomicCounterAdd(counter1, 1);
43 j = atomicCounterAdd(counter1, -1);
44 j = atomicCounterSubtract(counter1, 1);
46 j = atomicCounterMin(counter1, j);
47 j = atomicCounterMax(counter1, j);
48 j = atomicCounterAnd(counter1, j);
50 j = atomicCounterOr(counter1, j);
[all …]
/dports/cad/yosys/yosys-yosys-0.12/tests/asicworld/
H A Dcode_hdl_models_clk_div_45.v24 reg [3:0] counter1 ; register
32 counter1 <= 4'b0;
34 end else if ((counter1 == 3 && toggle2) || (~toggle1 && counter1 == 4)) begin
35 counter1 <= 4'b0;
38 counter1 <= counter1 + 1;
52 assign clk_out = (counter1 <3 && counter2 < 3) & enable;
/dports/devel/p5-Class-EHierarchy/Class-EHierarchy-2.01/t/
H A D03_class_hierarchy.t10 our $counter1 = 0;
24 $counter1 = 200;
31 $counter1 = 100;
45 $counter2 = $counter1**2;
52 $counter2 = $counter1 / 4;
60 is( $counter1, 200, 'counter1 check 1' );
65 is( $counter1, 200, 'counter1 check 2' );
71 is( $counter1, 200, 'counter1 check 3' );
77 is( $counter1, 100, 'counter1 check 4' );
/dports/java/eclipse/eclipse.platform.releng.aggregator-R4_16/eclipse.platform.runtime/tests/org.eclipse.e4.core.tests/src/org/eclipse/e4/core/internal/tests/di/extensions/
H A DInjectionEventTest.java54 public int counter1 = 0; field in InjectionEventTest.InjectTarget
65 counter1 = counter3 = 0; in resetCounters()
73 counter1++; in receivedEvent1()
90 public int counter1 = 0; field in InjectionEventTest.InjectTargetEvent
95 counter1++; in receivedEvent1()
103 public int counter1 = 0; field in InjectionEventTest.InjectStarEvent
108 counter1++; in receivedEvent1()
153 assertEquals(0, target.counter1); in testEventInjection()
162 assertEquals(1, target.counter1); in testEventInjection()
171 assertEquals(1, target.counter1); in testEventInjection()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/webrtc/rtc_base/numerics/
H A Dsample_counter_unittest.cc62 SampleCounterWithVariance counter1; in TEST() local
64 counter1.Add(value); in TEST()
71 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(absl::nullopt)); in TEST()
72 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(absl::nullopt)); in TEST()
74 counter1.Add(counter2); in TEST()
75 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(3)); in TEST()
76 EXPECT_THAT(counter1.Max(), Eq(5)); in TEST()
77 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(2)); in TEST()
/dports/net-im/tg_owt/tg_owt-d578c76/src/rtc_base/numerics/
H A Dsample_counter_unittest.cc62 SampleCounterWithVariance counter1; in TEST() local
64 counter1.Add(value); in TEST()
71 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(absl::nullopt)); in TEST()
72 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(absl::nullopt)); in TEST()
74 counter1.Add(counter2); in TEST()
75 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(3)); in TEST()
76 EXPECT_THAT(counter1.Max(), Eq(5)); in TEST()
77 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(2)); in TEST()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/webrtc/rtc_base/numerics/
H A Dsample_counter_unittest.cc62 SampleCounterWithVariance counter1; in TEST() local
64 counter1.Add(value); in TEST()
71 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(absl::nullopt)); in TEST()
72 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(absl::nullopt)); in TEST()
74 counter1.Add(counter2); in TEST()
75 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(3)); in TEST()
76 EXPECT_THAT(counter1.Max(), Eq(5)); in TEST()
77 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(2)); in TEST()
/dports/www/firefox/firefox-99.0/third_party/libwebrtc/rtc_base/numerics/
H A Dsample_counter_unittest.cc62 SampleCounterWithVariance counter1; in TEST() local
64 counter1.Add(value); in TEST()
71 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(absl::nullopt)); in TEST()
72 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(absl::nullopt)); in TEST()
74 counter1.Add(counter2); in TEST()
75 EXPECT_THAT(counter1.Avg(kMinSamples), Eq(3)); in TEST()
76 EXPECT_THAT(counter1.Max(), Eq(5)); in TEST()
77 EXPECT_THAT(counter1.Variance(kMinSamples), Eq(2)); in TEST()
/dports/net/mpich/mpich-3.4.3/modules/ucx/test/gtest/ucs/
H A Dtest_time.cc70 unsigned counter1, counter2; in UCS_TEST_F() local
89 counter1 = 0; in UCS_TEST_F()
94 if (timer->id == TIMER_ID_1) ++counter1; in UCS_TEST_F()
98 EXPECT_NEAR(test_time / interval1, counter1, 1); in UCS_TEST_F()
104 counter1 = 0; in UCS_TEST_F()
111 if (timer->id == TIMER_ID_1) ++counter1; in UCS_TEST_F()
115 EXPECT_EQ(0u, counter1); in UCS_TEST_F()
124 counter1 = 0; in UCS_TEST_F()
129 if (timer->id == TIMER_ID_1) ++counter1; in UCS_TEST_F()
133 EXPECT_NEAR(test_time / interval1, counter1, 1); in UCS_TEST_F()
/dports/astro/oskar/OSKAR-2.8.0/oskar/mem/src/
H A Doskar_mem_random_uniform.c16 const unsigned int seed, const unsigned int counter1, in mem_random_uniform_float() argument
23 OSKAR_R123_GENERATE_4(seed, i, counter1, counter2, counter3) in mem_random_uniform_float()
34 OSKAR_R123_GENERATE_4(seed, n1, counter1, counter2, counter3) in mem_random_uniform_float()
56 const unsigned int seed, const unsigned int counter1, in mem_random_uniform_double() argument
63 OSKAR_R123_GENERATE_4(seed, i, counter1, counter2, counter3) in mem_random_uniform_double()
74 OSKAR_R123_GENERATE_4(seed, n1, counter1, counter2, counter3) in mem_random_uniform_double()
95 unsigned int counter1, unsigned int counter2, unsigned int counter3, in oskar_mem_random_uniform() argument
111 counter1, counter2, counter3); in oskar_mem_random_uniform()
117 counter1, counter2, counter3); in oskar_mem_random_uniform()
144 {INT_SZ, &counter1}, in oskar_mem_random_uniform()
/dports/lang/guile2/guile-2.2.7/test-suite/vm/
H A Dt-call-cc.scm7 (define (loop counter1)
9 (set! counter1 (1+ counter1))
10 (cond ((not (= counter1 counter2))
11 (error "bad call/cc behaviour" counter1 counter2))
12 ((> counter1 10)
/dports/devel/p5-Signal-Mask/Signal-Mask-0.008/t/
H A D10-basics.t10 my $counter1 = 0;
17 $SIG{USR1} = sub { $counter1++ };
20 is $counter1, 0, 'Counter1 starts at zero';
27 is $counter1, 1, 'Counter1 is 1 now';
41 is $counter1, 1, 'Counter1 is still 1';
47 is $counter1, 2, 'Counter1 is 2 now';
/dports/sysutils/munin-contrib/contrib-c31cb28/plugins/netscaler/
H A Dsnmp__netscaler_connections164 my $counter1;
166 $counter1 = &get_oid_values($session,$oid_client_conn);
167 $return_str .= "client.value $counter1\n";
168 $counter1 = &get_oid_values($session,$oid_server_conn);
169 $return_str .= "server.value $counter1\n";
171 $counter1 = &get_oid_values($session,$oid_ssl_session);
172 $return_str .= "ssl.value $counter1\n";
/dports/net/goreplay/goreplay-1.2.0/
H A Demitter_test.go103 var counter1, counter2 int32
106 atomic.AddInt32(&counter1, 1)
134 if counter1 == 0 || counter2 == 0 || counter1 != counter2 {
135 t.Errorf("Round robin should split traffic equally: %d vs %d", counter1, counter2)
147 var counter1, counter2 int32
150 atomic.AddInt32(&counter1, 1)
178 if counter1 == 0 || counter2 == 0 {
179 t.Errorf("Round robin should split traffic equally: %d vs %d", counter1, counter2)
194 var counter1, counter2 int32
198 atomic.AddInt32(&counter1, 1)
[all …]
/dports/lang/racket/racket-8.3/share/pkgs/web-server-lib/web-server/default-web-root/htdocs/lang-servlets/
H A Dwc-fake.rkt6 (define counter1 0)
10 … (let*-values ([(inc1 next-counter1 next-counter2) (include-counter counter1 counter2 embed/url)]
11 … [(inc2 next-counter2 next-counter1) (include-counter next-counter2 next-counter1 embed/url)])
15 (div (h3 "First") ,(inc1 next-counter1 next-counter2))
16 (div (h3 "Second") ,(inc2 next-counter2 next-counter1)))))))))
/dports/biology/hyphy/hyphy-2.5.33/res/SubstitutionModels/Aminoacid/
H A DEIAA.mdl12 for (counter1=0; counter1<19;counter1=counter1+1)
14 for (counter2=counter1+1; counter2<20; counter2=counter2+1)
16 ModelMatrixName[counter1][counter2]:=a;
17 ModelMatrixName[counter2][counter1]:=a;
29 for (counter1=0; counter1<19;counter1=counter1+1)
31 for (counter2=counter1+1; counter2<20; counter2=counter2+1)
33 ModelMatrixName[counter1][counter2]:=categoryVariable*a;
34 ModelMatrixName[counter2][counter1]:=categoryVariable*a;
/dports/lang/racket/racket-8.3/share/pkgs/web-server-lib/web-server/default-web-root/htdocs/servlets/examples/
H A Dwc-fake.rkt8 (define counter1 0)
12 (let*-values ([(inc1 next-counter1 next-counter2)
13 (include-counter counter1 counter2 embed/url)]
14 [(inc2 next-counter2 next-counter1)
15 (include-counter next-counter2 next-counter1 embed/url)])
19 (div (h3 "First") ,(inc1 next-counter1 next-counter2))
20 (div (h3 "Second") ,(inc2 next-counter2 next-counter1)))))))))
/dports/audio/clementine-player/Clementine-1.4.0rc1/3rdparty/libprojectm/presets/
H A DZylot & Mstress - Toxic Storm On Acid Sea (The End Of The W.milk72 per_frame_9=counter1 = if(equal(counter2,1),if(equal(counter1,1),0,counter1+.2),1);
73 per_frame_10=counter2 = if(equal(counter1,1),if(equal(counter2,1),0,counter2+.2),1);
74 per_frame_11=cdelay1 = if(equal(cdelay2,1),1,if(equal(colorcounter%2,1),if(equal(counter1,1),2 ,0),…
77 …r,4),sin(counter2+2.1), if(equal(colorcounter,5),0, if(equal(colorcounter,6),0,sin(counter1)))))));
78 …f(equal(colorcounter,2),sin(counter2*.5), if(equal(colorcounter,3),sin((counter1+1.75)*.4), if(equ…
79 …,1),sin(counter1+2.1), if(equal(colorcounter,2),0, if(equal(colorcounter,3),0, if(equal(colorcount…

12345678910>>...25