Home
last modified time | relevance | path

Searched refs:delay1 (Results 1 – 25 of 516) sorted by relevance

12345678910>>...21

/dports/cad/openroad/OpenROAD-2.0/src/sta/graph/
H A DDelayFloat.cc89 delayEqual(const Delay &delay1, in delayEqual() argument
96 delayLess(const Delay &delay1, in delayLess() argument
100 return fuzzyLess(delay1, delay2); in delayLess()
104 delayLess(const Delay &delay1, in delayLess() argument
116 delayLessEqual(const Delay &delay1, in delayLessEqual() argument
136 delayGreater(const Delay &delay1, in delayGreater() argument
144 delayGreater(const Delay &delay1, in delayGreater() argument
176 delayRemove(const Delay &delay1, in delayRemove() argument
179 return delay1 - delay2; in delayRemove()
183 delayRatio(const Delay &delay1, in delayRatio() argument
[all …]
H A DDelayNormal1.cc270 delayEqual(const Delay &delay1, in delayEqual() argument
278 delayLess(const Delay &delay1, in delayLess() argument
287 delayLess(const Delay &delay1, in delayLess() argument
296 delayLess(const Delay &delay1, in delayLess() argument
338 delayGreater(const Delay &delay1, in delayGreater() argument
348 delayGreater(const Delay &delay1, in delayGreater() argument
399 delayRemove(const Delay &delay1, in delayRemove() argument
407 delayRatio(const Delay &delay1, in delayRatio() argument
414 operator+(float delay1, in operator +() argument
422 operator/(float delay1, in operator /() argument
[all …]
H A DDelayNormal2.cc239 delayEqual(const Delay &delay1, in delayEqual() argument
248 delayLess(const Delay &delay1, in delayLess() argument
257 delayLess(const Delay &delay1, in delayLess() argument
266 delayLess(const Delay &delay1, in delayLess() argument
308 delayGreater(const Delay &delay1, in delayGreater() argument
317 delayGreater(const Delay &delay1, in delayGreater() argument
426 delayRemove(const Delay &delay1, in delayRemove() argument
435 delayRatio(const Delay &delay1, in delayRatio() argument
442 operator+(float delay1, in operator +() argument
451 operator/(float delay1, in operator /() argument
[all …]
/dports/cad/openroad/OpenROAD-2.0/src/sta/include/sta/
H A DDelayNormal1.hh111 delayEqual(const Delay &delay1,
114 delayLess(const Delay &delay1,
118 delayLess(const Delay &delay1,
123 delayLessEqual(const Delay &delay1,
127 delayLessEqual(const Delay &delay1,
132 delayGreater(const Delay &delay1,
145 delayGreater(const Delay &delay1,
154 delayRatio(const Delay &delay1,
161 Delay operator+(float delay1,
164 Delay operator/(float delay1,
[all …]
H A DDelayNormal2.hh118 delayEqual(const Delay &delay1,
121 delayLess(const Delay &delay1,
125 delayLess(const Delay &delay1,
130 delayLessEqual(const Delay &delay1,
134 delayLessEqual(const Delay &delay1,
139 delayGreater(const Delay &delay1,
152 delayGreater(const Delay &delay1,
161 delayRatio(const Delay &delay1,
168 Delay operator+(float delay1,
171 Delay operator/(float delay1,
[all …]
H A DDelayFloat.hh95 delayEqual(const Delay &delay1,
98 delayLess(const Delay &delay1,
102 delayLess(const Delay &delay1,
107 delayLessEqual(const Delay &delay1,
111 delayLessEqual(const Delay &delay1,
116 delayGreater(const Delay &delay1,
120 delayGreaterEqual(const Delay &delay1,
124 delayGreaterEqual(const Delay &delay1,
129 delayGreater(const Delay &delay1,
136 delayRemove(const Delay &delay1,
[all …]
/dports/databases/sqlite3/sqlite-src-3350500/test/
H A Dwin32lock.test44 unset -nocomplain delay1 rc msg
49 set delay1 25
51 lock_win32_file test.db 0 $::delay1
55 lappend win32_lock_error $::delay1
60 lappend win32_lock_ok $::delay1
73 incr delay1 25
74 if {$delay1 > 12500} {
98 set delay1 1
109 lappend win32_lock_ok $::delay1
122 incr delay1 1
[all …]
/dports/databases/sqlcipher/sqlcipher-3.4.2/test/
H A Dwin32lock.test44 unset -nocomplain delay1 rc msg
49 set delay1 25
51 lock_win32_file test.db 0 $::delay1
55 lappend win32_lock_error $::delay1
60 lappend win32_lock_ok $::delay1
73 incr delay1 25
74 if {$delay1 > 12500} {
98 set delay1 1
109 lappend win32_lock_ok $::delay1
122 incr delay1 1
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/sqlite/src/test/
H A Dwin32lock.test44 unset -nocomplain delay1 rc msg
49 set delay1 25
51 lock_win32_file test.db 0 $::delay1
55 lappend win32_lock_error $::delay1
60 lappend win32_lock_ok $::delay1
73 incr delay1 25
74 if {$delay1 > 12500} {
98 set delay1 1
109 lappend win32_lock_ok $::delay1
122 incr delay1 1
[all …]
/dports/misc/gpsim/gpsim-0.31.0/regression/p16f690/
H A Dwdt_16f677.asm47 ; In the following test WDT should be longer then delay1, but shorter
48 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
50 call delay1
52 call delay1
64 ; Test the WDT cause a reset in under 2 * delay1
68 call delay1
69 call delay1
81 delay1 label
H A Dwdt_16f685.asm47 ; In the following test WDT should be longer then delay1, but shorter
48 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
50 call delay1
52 call delay1
64 ; Test the WDT cause a reset in under 2 * delay1
68 call delay1
69 call delay1
81 delay1 label
/dports/misc/gpsim/gpsim-0.31.0/regression/wdt/
H A Dwdt_16f628.asm47 ; In the following test WDT should be longer then delay1, but shorter
48 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
50 call delay1
52 call delay1
64 ; Test the WDT cause a reset in under 2 * delay1
68 call delay1
69 call delay1
81 delay1 label
H A Dwdt_18f452.asm46 ; In the following test WDT should be longer then delay1, but shorter
47 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
49 call delay1
51 call delay1
68 ; Test the WDT cause a reset in under 2 * delay1
71 call delay1
72 call delay1
84 delay1 label
H A Dwdt_18f4620.asm46 ; In the following test WDT should be longer then delay1, but shorter
47 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
49 call delay1
51 call delay1
67 ; Test the WDT cause a reset in under 2 * delay1
70 call delay1
71 call delay1
83 delay1 label
H A Dwdt_10f200.asm47 ; In the following test WDT should be longer then delay1, but shorter
48 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
50 call delay1
52 call delay1
65 ; Test the WDT cause a reset in under 2 * delay1
69 call delay1
70 call delay1
82 delay1 label
H A Dwdt_16c64.asm47 ; In the following test WDT should be longer then delay1, but shorter
48 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
50 call delay1
52 call delay1
64 ; Test the WDT cause a reset in under 2 * delay1
68 call delay1
69 call delay1
81 delay1 label
H A Dwdt_16f88.asm48 ; In the following test WDT should be longer then delay1, but shorter
49 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
90 call delay1
92 call delay1
104 ; Test the WDT cause a reset in under 2 * delay1
108 call delay1
109 call delay1
121 delay1 label
/dports/misc/gpsim/gpsim-0.31.0/regression/p16f684/
H A Dwdt_16f684.asm47 ; In the following test WDT should be longer then delay1, but shorter
48 ; than twice delay1. The clrwdt thus prevents the WDT from going off.
50 call delay1
52 call delay1
64 ; Test the WDT cause a reset in under 2 * delay1
68 call delay1
69 call delay1
81 delay1 label
/dports/audio/sc3-plugins/sc3-plugins-Version-3.9.0/source/SLUGens/sc/HelpSource/Classes/
H A DTwoTube.schelp34 var delay1, delay2, source;
39 delay1= 100;
42 source= WhiteNoise.ar(0.5)*EnvGen.ar(Env([1,1,0],[(delay1+delay2)/SampleRate.ir,0.0]), Impulse.kr(M…
44 TwoTube.ar(source,MouseX.kr(-1,1),0.99,delay1,delay2);
53 SynthDef(\twotube,{arg delay1=100, delay2=50, k=0.0, loss=0.999, dur=0.5, pan=0.0;
58 source= WhiteNoise.ar(0.5)*EnvGen.ar(Env([1,1,0,0],[(delay1+delay2)/SampleRate.ir,0.0,1.0]));
60 Out.ar(0,Pan2.ar(TwoTube.ar(source,k,loss,delay1,delay2)*EnvGen.ar(Env([0,1,1,0],[0.001]++((dur-0.0…
72 Synth(\twotube,[\delay1, rrand(1,300),\delay2, rrand(1,300),\loss, rrand(0.9,0.999),\dur, rrand(0.1…
/dports/devel/universal-ctags/ctags-p5.9.20211128.0/Units/parser-verilog.r/systemverilog-github2635.d/
H A Dexpected.tags10 delay_example input.sv /^ sequence delay_example(x, y, min, max, delay1);$/;" q package:foo
11 x input.sv /^ sequence delay_example(x, y, min, max, delay1);$/;" p sequence:foo.delay_example
12 y input.sv /^ sequence delay_example(x, y, min, max, delay1);$/;" p sequence:foo.delay_example
13 min input.sv /^ sequence delay_example(x, y, min, max, delay1);$/;" p sequence:foo.delay_example
14 max input.sv /^ sequence delay_example(x, y, min, max, delay1);$/;" p sequence:foo.delay_example
15 delay1 input.sv /^ sequence delay_example(x, y, min, max, delay1);$/;" p sequence:foo.delay_example
/dports/net-im/tg_owt/tg_owt-d578c76/src/modules/audio_processing/agc2/rnn_vad/
H A Dsymmetric_matrix_buffer_unittest.cc81 for (int delay1 = 0; delay1 < kRingBufSize - 1; ++delay1) { in TEST() local
82 for (int delay2 = delay1 + 1; delay2 < kRingBufSize; ++delay2) { in TEST()
83 const auto t1 = ring_buf.GetArrayView(delay1)[0]; in TEST()
86 const auto p = sym_matrix_buf.GetValue(delay1, delay2); in TEST()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/webrtc/modules/audio_processing/agc2/rnn_vad/
H A Dsymmetric_matrix_buffer_unittest.cc84 for (int delay1 = 0; delay1 < kRingBufSize - 1; ++delay1) { in TEST() local
85 for (int delay2 = delay1 + 1; delay2 < kRingBufSize; ++delay2) { in TEST()
86 const auto t1 = ring_buf.GetArrayView(delay1)[0]; in TEST()
89 const auto p = sym_matrix_buf.GetValue(delay1, delay2); in TEST()
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/webrtc/modules/audio_processing/agc2/rnn_vad/
H A Dsymmetric_matrix_buffer_unittest.cc84 for (size_t delay1 = 0; delay1 < kRingBufSize - 1; ++delay1) { in TEST() local
85 for (size_t delay2 = delay1 + 1; delay2 < kRingBufSize; ++delay2) { in TEST()
86 const auto t1 = ring_buf.GetArrayView(delay1)[0]; in TEST()
89 const auto p = sym_matrix_buf.GetValue(delay1, delay2); in TEST()
/dports/www/firefox/firefox-99.0/third_party/libwebrtc/modules/audio_processing/agc2/rnn_vad/
H A Dsymmetric_matrix_buffer_unittest.cc84 for (size_t delay1 = 0; delay1 < kRingBufSize - 1; ++delay1) { in TEST() local
85 for (size_t delay2 = delay1 + 1; delay2 < kRingBufSize; ++delay2) { in TEST()
86 const auto t1 = ring_buf.GetArrayView(delay1)[0]; in TEST()
89 const auto p = sym_matrix_buf.GetValue(delay1, delay2); in TEST()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/blink/renderer/platform/scheduler/main_thread/
H A Ddeadline_task_runner_unittest.cc55 base::TimeDelta delay1 = base::TimeDelta::FromMilliseconds(10); in TEST_F() local
56 base::TimeTicks deadline1 = Now() + delay1; in TEST_F()
57 deadline_task_runner_->SetDeadline(FROM_HERE, delay1, Now()); in TEST_F()
70 base::TimeDelta delay1 = base::TimeDelta::FromMilliseconds(1); in TEST_F() local
75 deadline_task_runner_->SetDeadline(FROM_HERE, delay1, Now()); in TEST_F()
78 EXPECT_THAT(run_times_, testing::ElementsAre(start_time + delay1)); in TEST_F()

12345678910>>...21