Home
last modified time | relevance | path

Searched refs:expr_ (Results 1 – 25 of 588) sorted by relevance

12345678910>>...24

/dports/cad/iverilog/verilog-11.0/
H A Dt-dll-expr.cc197 expr_ = 0; in expr_array_pattern()
210 expr_ = 0; in expr_binary()
249 expr_ = 0; in expr_concat()
387 expr_ = 0; in expr_new()
393 expr_ = 0; in expr_new()
428 expr_ = 0; in expr_property()
488 expr_ = 0; in expr_scopy()
541 expr_->u_.select_.expr_ = expr; in expr_select()
570 expr_ = 0; in expr_sfunc()
619 expr_ = 0; in expr_signal()
[all …]
H A Dt-dll-proc.cc177 expr_ = 0; in make_single_lval_()
209 expr_ = 0; in make_single_lval_()
263 expr_ = 0; in proc_assign()
269 expr_ = 0; in proc_assign()
297 expr_ = 0; in proc_assign_nb()
312 expr_ = 0; in proc_assign_nb()
328 expr_ = 0; in proc_assign_nb()
505 expr_ = 0; in proc_case()
525 expr_ = 0; in proc_case()
622 expr_ = 0; in proc_delay()
[all …]
H A Dnet_proc.cc89 ivl_assert(*this, expr_); in NetCase()
94 delete expr_; in ~NetCase()
155 expr_ = pad_to_width(unpadded_expr->dup_expr(), prune_width, *expr_); in prune()
238 : delay_(d), expr_(0), statement_(st) in NetPDelay()
243 : delay_(0), expr_(d), statement_(st) in NetPDelay()
249 delete expr_; in ~NetPDelay()
254 ivl_assert(*this, expr_ == 0); in delay()
260 return expr_; in expr()
264 : expr_(e), statement_(p) in NetRepeat()
270 delete expr_; in ~NetRepeat()
[all …]
H A DStatement.cc216 delete expr_; in ~PCase()
224 : lval_(l), expr_(r) in PCAssign()
231 delete expr_; in ~PCAssign()
256 delete expr_; in ~PCondit()
311 expr_[0] = ee; in PEventStatement()
339 : lval_(l), expr_(r) in PForce()
346 delete expr_; in ~PForce()
399 : expr_(e), statement_(s) in PRepeat()
405 delete expr_; in ~PRepeat()
410 : expr_(e) in PReturn()
[all …]
/dports/math/py-pystan/pystan-2.19.0.0/pystan/stan/src/stan/lang/ast/node/
H A Dexpression_def.hpp12 : expr_(nil()) { in expression()
16 : expr_(e.expr_) { in expression()
21 expression::expression(const nil& expr) : expr_(expr) { } in expression()
33 expression::expression(const variable& expr) : expr_(expr) { } in expression()
47 expression::expression(const fun& expr) : expr_(expr) { } in expression()
60 expr_ = binary_op(expr_, "+", rhs); in operator +=()
65 expr_ = binary_op(expr_, "-", rhs); in operator -=()
70 expr_ = binary_op(expr_, "*", rhs); in operator *=()
75 expr_ = binary_op(expr_, "/", rhs); in operator /=()
81 return boost::apply_visitor(vis, expr_); in bare_type()
[all …]
/dports/math/py-pystan/pystan-2.19.0.0/pystan/stan/src/stan/lang/ast/fun/
H A Dhas_var_vis_def.hpp21 if (boost::apply_visitor(*this, e.args_[i].expr_)) in operator ()()
28 if (boost::apply_visitor(*this, e.args_[i].expr_)) in operator ()()
56 return boost::apply_visitor(*this, e.lb_.expr_) in operator ()()
57 || boost::apply_visitor(*this, e.ub_.expr_) in operator ()()
58 || boost::apply_visitor(*this, e.theta_.expr_); in operator ()()
63 return boost::apply_visitor(*this, e.y0_.expr_) in operator ()()
69 return boost::apply_visitor(*this, e.y0_.expr_) in operator ()()
90 return boost::apply_visitor(*this, e.expr_.expr_); in operator ()()
94 return boost::apply_visitor(*this, e.expr_.expr_); in operator ()()
98 return boost::apply_visitor(*this, e.cond_.expr_) in operator ()()
[all …]
H A Dhas_non_param_var_vis_def.hpp85 return boost::apply_visitor(*this, e.lb_.expr_) in operator ()()
86 || boost::apply_visitor(*this, e.ub_.expr_) in operator ()()
87 || boost::apply_visitor(*this, e.theta_.expr_); in operator ()()
92 return boost::apply_visitor(*this, e.y0_.expr_) in operator ()()
93 || boost::apply_visitor(*this, e.theta_.expr_); in operator ()()
99 return boost::apply_visitor(*this, e.y0_.expr_) in operator ()()
100 || boost::apply_visitor(*this, e.theta_.expr_); in operator ()()
105 return boost::apply_visitor(*this, e.y_.expr_); in operator ()()
111 return boost::apply_visitor(*this, e.y_.expr_); in operator ()()
136 return boost::apply_visitor(*this, e.expr_.expr_); in operator ()()
[all …]
H A Dvar_occurs_vis_def.hpp28 if (boost::apply_visitor(*this, e.args_[i].expr_)) in operator ()()
35 if (boost::apply_visitor(*this, e.args_[i].expr_)) in operator ()()
42 if (boost::apply_visitor(*this, e.args_[i].expr_)) in operator ()()
53 if (boost::apply_visitor(*this, e.args_[i].expr_)) in operator ()()
84 return boost::apply_visitor(*this, e.expr_.expr_); in operator ()()
88 return boost::apply_visitor(*this, e.expr_.expr_); in operator ()()
92 return boost::apply_visitor(*this, e.cond_.expr_) in operator ()()
93 || boost::apply_visitor(*this, e.true_val_.expr_) in operator ()()
98 return boost::apply_visitor(*this, e.left.expr_) in operator ()()
99 || boost::apply_visitor(*this, e.right.expr_); in operator ()()
[all …]
/dports/print/lilypond-devel/lilypond-2.23.5/lily/
H A Dstencil.cc32 expr_ = SCM_EOL; in Stencil()
38 expr_ = func; in Stencil()
45 return expr_; in mark_smob()
72 return expr_; in expr()
198 if (scm_is_null (expr_)) in add_stencil()
199 expr_ = s.expr_; in add_stencil()
207 expr_ = scm_append (scm_list_2 (s.expr_, scm_cdr (expr_))); in add_stencil()
209 expr_ = scm_cons2 (cs, s.expr_, scm_cdr (expr_)); in add_stencil()
215 expr_ = scm_append (scm_list_2 (s.expr_, scm_list_1 (expr_))); in add_stencil()
217 expr_ = scm_list_3 (cs, s.expr_, expr_); in add_stencil()
[all …]
/dports/print/lilypond/lilypond-2.22.1/lily/
H A Dstencil.cc32 expr_ = SCM_EOL; in Stencil()
38 expr_ = func; in Stencil()
45 return expr_; in mark_smob()
72 return expr_; in expr()
200 if (scm_is_null (expr_)) in add_stencil()
201 expr_ = s.expr_; in add_stencil()
209 expr_ = scm_append (scm_list_2 (s.expr_, scm_cdr (expr_))); in add_stencil()
211 expr_ = scm_cons2 (cs, s.expr_, scm_cdr (expr_)); in add_stencil()
217 expr_ = scm_append (scm_list_2 (s.expr_, scm_list_1 (expr_))); in add_stencil()
219 expr_ = scm_list_3 (cs, s.expr_, expr_); in add_stencil()
[all …]
/dports/emulators/nestopia/nestopia-1.51.1/source/core/
H A DNstAssert.hpp39 #define NST_ASSERT_MSG(expr_,msg_) NST_ASSUME(expr_) argument
40 #define NST_VERIFY_MSG(expr_,msg_) NST_NOP() argument
93 #define NST_ASSERT_MSG(expr_,msg_) \ argument
97 if (!ignore_ && !(expr_)) \
99 switch (Nes::Assertion::Issue(#expr_,msg_,__FILE__,NST_FUNC_NAME,__LINE__)) \
108 #define NST_VERIFY_MSG(expr_,msg_) NST_ASSERT_MSG(expr_,msg_) argument
115 #define NST_ASSERT_MSG(expr_,msg_) assert( !!(expr_) ) argument
116 #define NST_VERIFY_MSG(expr_,msg_) NST_NOP() argument
120 #define NST_ASSERT(expr_) NST_ASSERT_MSG(expr_,0) argument
121 #define NST_VERIFY(expr_) NST_VERIFY_MSG(expr_,0) argument
/dports/games/libretro-nestopia/nestopia-2b0315c/source/core/
H A DNstAssert.hpp39 #define NST_ASSERT_MSG(expr_,msg_) NST_ASSUME(expr_) argument
40 #define NST_VERIFY_MSG(expr_,msg_) NST_NOP() argument
93 #define NST_ASSERT_MSG(expr_,msg_) \ argument
97 if (!ignore_ && !(expr_)) \
99 switch (Nes::Assertion::Issue(#expr_,msg_,__FILE__,NST_FUNC_NAME,__LINE__)) \
108 #define NST_VERIFY_MSG(expr_,msg_) NST_ASSERT_MSG(expr_,msg_) argument
115 #define NST_ASSERT_MSG(expr_,msg_) assert( !!(expr_) ) argument
116 #define NST_VERIFY_MSG(expr_,msg_) NST_NOP() argument
120 #define NST_ASSERT(expr_) NST_ASSERT_MSG(expr_,0) argument
121 #define NST_VERIFY(expr_) NST_VERIFY_MSG(expr_,0) argument
/dports/net/wayvnc/wayvnc-0.4.1/include/
H A Dtst.h42 type expr_ = (expr); \
45 expr_); \
58 type expr_ = (expr); \
61 expr_); \
73 type expr_ = (expr); \
76 expr_); \
88 type expr_ = (expr); \
91 expr_); \
103 type expr_ = (expr); \
106 expr_); \
[all …]
/dports/math/primecount/primecount-7.2/lib/primesieve/include/primesieve/
H A Dcalculator.hpp80 expr_(expr) in error()
87 return expr_; in expression()
90 std::string expr_; member in calculator::error
104 expr_ = expr; in eval()
178 std::string expr_; member in calculator::ExpressionParser
217 << expr_.substr(division, expr_.size() - division) in checkZero()
246 return index_ >= expr_.size(); in isEnd()
255 return expr_[index_]; in getCharacter()
273 << expr_.substr(index_, expr_.size() - index_) in unexpected()
349 char x = expr_[index_ + 1]; in isHex()
[all …]
/dports/math/primesieve/primesieve-7.7/include/primesieve/
H A Dcalculator.hpp80 expr_(expr) in error()
87 return expr_; in expression()
90 std::string expr_; member in calculator::error
104 expr_ = expr; in eval()
178 std::string expr_; member in calculator::ExpressionParser
217 << expr_.substr(division, expr_.size() - division) in checkZero()
246 return index_ >= expr_.size(); in isEnd()
255 return expr_[index_]; in getCharacter()
273 << expr_.substr(index_, expr_.size() - index_) in unexpected()
349 char x = expr_[index_ + 1]; in isHex()
[all …]
/dports/math/primecount/primecount-7.2/include/
H A Dcalculator.hpp80 expr_(expr)
87 return expr_;
90 std::string expr_;
104 expr_ = expr;
178 std::string expr_;
217 << expr_.substr(division, expr_.size() - division)
246 return index_ >= expr_.size();
255 return expr_[index_];
273 << expr_.substr(index_, expr_.size() - index_)
349 char x = expr_[index_ + 1];
[all …]
/dports/misc/mxnet/incubator-mxnet-1.9.0/3rdparty/tvm/src/arith/
H A Dbound_deducer.cc179 PrimExpr expr_; member in tvm::arith::BoundDeducer
233 expr_ = op->b; in Transform()
238 expr_ = op->a; in Transform()
245 expr_ = op->b; in Transform()
249 expr_ = op->a; in Transform()
256 expr_ = op->b; in Transform()
261 expr_ = op->a; in Transform()
268 expr_ = op->b; in Transform()
272 expr_ = op->a; in Transform()
279 expr_ = op->b; in Transform()
[all …]
/dports/misc/tvm/incubator-tvm-0.6.1/src/arithmetic/
H A Dbound_deducer.cc187 Expr expr_; member in tvm::arith::BoundDeducer
238 expr_ = op->b; in Transform()
243 expr_ = op->a; in Transform()
250 expr_ = op->b; in Transform()
254 expr_ = op->a; in Transform()
261 expr_ = op->b; in Transform()
266 expr_ = op->a; in Transform()
273 expr_ = op->b; in Transform()
277 expr_ = op->a; in Transform()
284 expr_ = op->b; in Transform()
[all …]
/dports/misc/py-tvm/incubator-tvm-0.6.1/src/arithmetic/
H A Dbound_deducer.cc187 Expr expr_; member in tvm::arith::BoundDeducer
238 expr_ = op->b; in Transform()
243 expr_ = op->a; in Transform()
250 expr_ = op->b; in Transform()
254 expr_ = op->a; in Transform()
261 expr_ = op->b; in Transform()
266 expr_ = op->a; in Transform()
273 expr_ = op->b; in Transform()
277 expr_ = op->a; in Transform()
284 expr_ = op->b; in Transform()
[all …]
/dports/science/libint2/libint-2.7.1/src/bin/libint/
H A Dvrr_11_twoprep_11.h51 using ParentType::RecurrenceRelation::expr_;
157 expr_ = Vector("PA")[dir] * ABCD_m + Vector("WP")[dir] * ABCD_mp1; nflops_+=3; in VRR_11_TwoPRep_11()
160 expr_ = Vector("WP")[dir] * ABCD_mp1; nflops_+=1; in VRR_11_TwoPRep_11()
191 if (is_simple()) { expr_ += Scalar(c[dir]) * Scalar("oo2ze") * ABCm1D_mp1; nflops_+=3; } in VRR_11_TwoPRep_11()
196 if (is_simple()) { expr_ += Scalar(d[dir]) * Scalar("oo2ze") * ABCDm1_mp1; nflops_+=3; } in VRR_11_TwoPRep_11()
211 expr_ = Vector("PB")[dir] * ABCD_m + Vector("WP")[dir] * ABCD_mp1; nflops_+=3; in VRR_11_TwoPRep_11()
214 expr_ = Vector("WP")[dir] * ABCD_mp1; nflops_+=1; in VRR_11_TwoPRep_11()
265 expr_ = Vector("QC")[dir] * ABCD_m + Vector("WQ")[dir] * ABCD_mp1; nflops_+=3; in VRR_11_TwoPRep_11()
268 expr_ = Vector("WQ")[dir] * ABCD_mp1; nflops_+=1; in VRR_11_TwoPRep_11()
319 expr_ = Vector("QD")[dir] * ABCD_m + Vector("WQ")[dir] * ABCD_mp1; nflops_+=3; in VRR_11_TwoPRep_11()
[all …]
H A Dvrr_1_onep_1.h52 using ParentType::RecurrenceRelation::expr_;
194 using ParentType::RecurrenceRelation::expr_;
241 expr_ = Scalar(0u) + int00; in VRR_1_Overlap_1_1d()
343 using ParentType::RecurrenceRelation::expr_;
405 expr_ += Scalar(a[dir]) * Scalar("oo2z") * Am1B; in VRR_1_Kinetic_1()
421 expr_ += Scalar(b[dir]) * Scalar("oo2z") * ABm1; in VRR_1_Kinetic_1()
430 expr_ += Scalar("two_rho12") * S_AB_target; in VRR_1_Kinetic_1()
518 using ParentType::RecurrenceRelation::expr_;
681 using ParentType::RecurrenceRelation::expr_;
813 expr_ += Scalar("oo2z") * subexpr; in VRR_1_SMultipole_1()
[all …]
/dports/math/couenne/Couenne-releases-0.5.8/Couenne/src/expression/partial/
H A DCouenneExprJac.cpp28 expr_ (NULL), in ExprJac()
41 delete expr_ [i]; in ~ExprJac()
43 free (expr_); in ~ExprJac()
74 expr_ [i] = expr_ [i] -> clone (); in operator =()
76 } else expr_ = NULL; in operator =()
103 expr_ (NULL), in ExprJac()
119 reAlloc (nnz_, cursize, iRow_, jCol_, expr_); in ExprJac()
164 reAlloc (nnz_ + 1, cursize, iRow_, jCol_, expr_); in ExprJac()
170 expr_ [nnz_] = rJ; in ExprJac()
236 expr_ [nnz_] = rJ; in ExprJac()
[all …]
/dports/math/py-or-tools/or-tools-9.2/ortools/constraint_solver/
H A Dexpr_cst.cc65 IntExpr* const expr_; member in operations_research::__anona17938060111::EqualityExprCst
73 if (!expr_->IsVar()) { in Post()
75 expr_->WhenRange(d); in Post()
140 IntExpr* const expr_; member in operations_research::__anona17938060211::GreaterEqExprCst
149 if (!expr_->IsVar() && expr_->Min() < value_) { in Post()
159 expr_->SetMin(value_); in InitialPropagate()
237 IntExpr* const expr_; member in operations_research::__anona17938060311::LessEqExprCst
246 if (!expr_->IsVar() && expr_->Max() > value_) { in Post()
256 expr_->SetMax(value_); in InitialPropagate()
673 IntExpr* const expr_; member in operations_research::__anona17938060711::IsGreaterEqualCstCt
[all …]
H A Dexpressions.cc4478 expr_->SetMin(m); in SetMin()
4490 expr_->SetMax(m); in SetMax()
5521 return std::max(Pown(expr_->Min()), Pown(expr_->Max())); in Max()
5653 expr_->SetMin(m); in SetMin()
5665 return (expr_->Bound() || expr_->Min() >= value_); in Bound()
5770 expr_->SetMax(m); in SetMax()
5774 return (expr_->Bound() || expr_->Max() <= value_); in Bound()
5814 expr_(e), in SimpleConvexPiecewiseExpr()
6291 expr_(expr), in LinkExprAndDomainIntVar()
7198 return f_.GetMinimum(expr_->Min(), expr_->Max()); in Min()
[all …]
/dports/math/py-pystan/pystan-2.19.0.0/pystan/stan/src/stan/lang/generator/
H A Dwrite_constraints_fn.hpp38 generate_expression(btype.bounds().low_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()
40 generate_expression(btype.bounds().high_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()
43 generate_expression(btype.bounds().low_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()
46 generate_expression(btype.bounds().high_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()
51 generate_expression(btype.ls().offset_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()
53 generate_expression(btype.ls().multiplier_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()
56 generate_expression(btype.ls().offset_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()
61 generate_expression(btype.ls().multiplier_.expr_, NOT_USER_FACING, ss); in write_constraints_fn()

12345678910>>...24