Home
last modified time | relevance | path

Searched refs:filelinep (Results 1 – 14 of 14) sorted by relevance

/dports/cad/verilator/verilator-4.216/src/
H A DV3PreLex.h182 V3PreLex(V3PreProcImp* preimpp, FileLine* filelinep) in V3PreLex() argument
184 , m_tokFilelinep{filelinep} {
185 initFirstBuffer(filelinep);
214 void scanNewFile(FileLine* filelinep);
236 void initFirstBuffer(FileLine* filelinep);
H A DV3Config.cpp312 inline void applyIgnores(FileLine* filelinep) { in applyIgnores() argument
314 if (m_lastIgnore.lineno != filelinep->lineno()) { in applyIgnores()
317 const int curlineno = filelinep->lastLineno(); in applyIgnores()
321 filelinep->warnOn(m_lastIgnore.it->m_code, m_lastIgnore.it->m_on); in applyIgnores()
328 m_lastIgnore.lineno = filelinep->lastLineno(); in applyIgnores()
489 void V3Config::applyIgnores(FileLine* filelinep) { in applyIgnores() argument
490 const string& filename = filelinep->filename(); in applyIgnores()
492 if (filep) filep->applyIgnores(filelinep); in applyIgnores()
530 bool V3Config::waive(FileLine* filelinep, V3ErrorCode code, const string& message) { in waive() argument
531 V3ConfigFile* filep = V3ConfigResolver::s().files().resolve(filelinep->filename()); in waive()
H A DV3Config.h46 static void applyIgnores(FileLine* filelinep);
53 static bool waive(FileLine* filelinep, V3ErrorCode code, const string& message);
H A DV3PreLex.l573 FileLine* const filelinep = curFilelinep();
579 curFilelinep(filelinep);
593 void V3PreLex::initFirstBuffer(FileLine* filelinep) {
596 VPreStream* const streamp = new VPreStream{filelinep, this};
605 void V3PreLex::scanNewFile(FileLine* filelinep) {
612 VPreStream* const streamp = new VPreStream{filelinep, this};
H A DV3PreProc.cpp265 void configure(FileLine* filelinep) { in configure() argument
268 m_finFilelinep = new FileLine(filelinep->filename()); in configure()
271 m_lexp = new V3PreLex(this, filelinep); in configure()
/dports/cad/p5-Verilog-Perl/Verilog-Perl-3.478/Preproc/
H A DVPreLex.h175 VPreLex(VPreProcImp* preimpp, VFileLine* filelinep) { in VPreLex() argument
186 m_tokFilelinep = filelinep; in VPreLex()
188 initFirstBuffer(filelinep); in VPreLex()
208 void scanNewFile(VFileLine* filelinep);
230 void initFirstBuffer(VFileLine* filelinep);
H A DVPreProc.h48 void configure(VFileLine* filelinep);
71 void openFile(string filename, VFileLine* filelinep=NULL);
H A DVPreLex.l464 VFileLine* filelinep = curFilelinep();
470 curFilelinep(filelinep);
484 void VPreLex::initFirstBuffer(VFileLine* filelinep) {
487 VPreStream* streamp = new VPreStream(filelinep, this);
496 void VPreLex::scanNewFile(VFileLine* filelinep) {
503 VPreStream* streamp = new VPreStream(filelinep, this);
H A DPreproc.xs228 VFileLineXs* filelinep = new VFileLineXs(NULL/*ok,for initial*/); in _new() local
230 filelinep->setPreproc(preprocp); in _new()
237 preprocp->configure(filelinep); in _new()
H A DVPreProc.cpp166 void configure(VFileLine* filelinep, VPreProc* preprocp) { in configure() argument
169 m_finFilelinep = filelinep->create(1); in configure()
171 m_lexp = new VPreLex(this, filelinep); in configure()
188 void openFile(string filename, VFileLine* filelinep);
251 void VPreProc::configure(VFileLine* filelinep) { in configure() argument
253 idatap->configure(filelinep, this); in configure()
264 void VPreProc::openFile(string filename, VFileLine* filelinep) { in openFile() argument
266 idatap->openFile(filename,filelinep); in openFile()
603 void VPreProcImp::openFile(string filename, VFileLine* filelinep) { in openFile() argument
/dports/cad/p5-Verilog-Perl/Verilog-Perl-3.478/Parser/
H A DParser.xs108 void cbFileline(VFileLine* filelinep) { m_cbFilelinep = filelinep; } in cbFileline() argument
110 VParserXs(VFileLine* filelinep, av* symsp, in VParserXs() argument
112 : VParse(filelinep, symsp, sigparser, useUnreadback, useProtected, usePinselects) in VParserXs()
113 , m_cbFilelinep(filelinep) in VParserXs()
353 VFileLineParseXs* filelinep = new VFileLineParseXs(NULL/*ok,for initial*/); in _new() local
354 …VParserXs* parserp = new VParserXs(filelinep, symsp, sigparser, useUnreadback, useProtected, usePi… in _new()
355 filelinep->setParser(parserp); in _new()
H A DVParse.cpp41 VParse::VParse(VFileLine* filelinep, av* symsp, in VParse() argument
43 : m_syms(filelinep, symsp) in VParse()
45 m_inFilelinep = filelinep; in VParse()
H A DVParse.h125 VParse(VFileLine* filelinep, av* symsp,
/dports/cad/p5-Verilog-Perl/Verilog-Perl-3.478/Preproc/gen/
H A Dflex-13643 VFileLine* filelinep = curFilelinep();
3649 curFilelinep(filelinep);
3663 void VPreLex::initFirstBuffer(VFileLine* filelinep) {
3666 VPreStream* streamp = new VPreStream(filelinep, this);
3675 void VPreLex::scanNewFile(VFileLine* filelinep) {
3682 VPreStream* streamp = new VPreStream(filelinep, this);