Home
last modified time | relevance | path

Searched refs:get_fab (Results 1 – 17 of 17) sorted by relevance

/dports/games/simutrans/simutrans-121.0/gui/
H A Dfabrik_info.cc46 if( const fabrik_t *src = fabrik_t::get_fab(target) ) { in is_active()
67 if (const fabrik_t *other = fabrik_t::get_fab(target) ) { in gui_factory_connection_t()
320 if( const fabrik_t *src = fabrik_t::get_fab(k) ) { in update_components()
379 fab = fabrik_t::get_fab(fabpos ); in rdwr()
H A Dminimap.cc1138 const fabrik_t *fab = fabrik_t::get_fab(last_world_pos); in get_factory_near()
1140 fab = fabrik_t::get_fab( last_world_pos+koord::nsew[i] ); in get_factory_near()
1144 fab = fabrik_t::get_fab( last_world_pos+koord::nsew[i]*2 ); in get_factory_near()
1159 const fabrik_t * fab2 = fabrik_t::get_fab(lieferziel); in draw_factory_connections()
/dports/games/simutrans/simutrans-121.0/
H A Dfreight_list_sorter.cc74 …const char *const name1 = ( w1.to_factory ? ( (fab=fabrik_t::get_fab(w1.get_zielpos())) ? fab->get… in compare_ware()
75 …const char *const name2 = ( w2.to_factory ? ( (fab=fabrik_t::get_fab(w2.get_zielpos())) ? fab->get… in compare_ware()
229 const fabrik_t *const factory = fabrik_t::get_fab( ware.get_zielpos() ); in sort_freight()
H A Dsimware.cc130 if (fabrik_t::get_fab(zielpos)) { in rdwr()
165 fabrik_t *fab = fabrik_t::get_fab(zielpos ); in update_factory_target()
H A Dsimfab.cc299 fabrik_t *fab = get_fab( ware->get_zielpos() ); in update_transit()
310 fabrik_t *fab = get_fab( ware->get_zielpos() ); in apply_transit()
677 fabrik_t *fabrik_t::get_fab(const koord &pos) in get_fab() function in fabrik_t
710 fabrik_t * fab = fabrik_t::get_fab(ziel); in add_lieferziel()
1089 fabrik_t *fab=get_fab(koord(x,y)); in sind_da_welche()
2514 fabrik_t * ziel_fab = get_fab(lieferziel); in verteile_waren()
2604 fabrik_t *fab = get_fab( most_waiting.get_zielpos() ); in verteile_waren()
2991 fabrik_t * fab2 = fabrik_t::get_fab(lieferziele[i]); in finish_rd()
3070 if( fabrik_t *fab = get_fab( ziel ) ) { in add_supplier()
3115 if( fabrik_t *fab = get_fab( ziel ) ) { in rem_supplier()
[all …]
H A Dsimfab.h542 static fabrik_t * get_fab(const koord &pos);
H A Dsimhalt.cc707 fabrik_t *fab = fabrik_t::get_fab(k+next_building[test]); in create_name()
1904 fabrik_t *const factory = fabrik_t::get_fab(ware.get_zielpos() ); in liefere_an_fabrik()
2823 if( all_names.get(current_name).is_bound() && fabrik_t::get_fab(get_basis_pos())==NULL ) { in finish_rd()
H A Dsimworld.h1545 …fabrik_t* get_fab(unsigned index) const { return index < fab_list.get_count() ? fab_list.at(index)… in get_fab() function
H A Dsimtool.cc546 …if (gr->is_halt() && halt.is_bound() && fabrik_t::get_fab(k)==NULL && type == obj_t::undefin… in tool_remover_intern()
1534 fab = fabrik_t::get_fab( k); in work()
5672 fabrik_t *fab = fabrik_t::get_fab( pos.get_2d() ); in is_valid_pos()
5683 fabrik_t *last_fab = fabrik_t::get_fab( start.get_2d() ); in do_work()
5684 fabrik_t *fab = fabrik_t::get_fab( pos.get_2d() ); in do_work()
H A Dsimcity.cc756 factory = fabrik_t::get_fab( koord(factory_pos_x, factory_pos_y) ); in resolve_factory()
/dports/games/simutrans/simutrans-121.0/script/api/
H A Dapi_factory.cc24 fabrik_t *fab = fabrik_t::get_fab(pos); in exp_factory_constructor()
140 fabrik_t *fab = welt->get_fab(index); in world_get_factory_by_index()
/dports/games/simutrans/simutrans-121.0/bauer/
H A Dfabrikbauer.cc791 fabrik_t* const zfab = fabrik_t::get_fab(i); in build_chain_link()
813 fabrik_t* zfab = fabrik_t::get_fab(t); in build_chain_link()
887 fabrik_t *fab = fabrik_t::get_fab(k.get_2d() ); in build_chain_link()
962 factory_desc_t const* const fd = fabrik_t::get_fab(j)->get_desc(); in increase_industry_density()
1067 fabrik_t *our_fab = fabrik_t::get_fab( pos.get_2d() ); in increase_industry_density()
/dports/games/simutrans/simutrans-121.0/obj/
H A Dleitung2.cc94 fabrik_t *fab = fabrik_t::get_fab( pos+koord::nsew[k] ); in suche_fab_4()
573 fab = fabrik_t::get_fab(get_pos().get_2d()); in finish_rd()
839 fab = fabrik_t::get_fab(get_pos().get_2d()); in finish_rd()
/dports/games/simutrans/simutrans-121.0/player/
H A Dai_goods.cc146 fabrik_t* const qfab = fabrik_t::get_fab(q); in get_factory_tree_lowest_missing()
197 fabrik_t* const qfab = fabrik_t::get_fab(q); in get_factory_tree_missing_count()
1416 root = fabrik_t::get_fab( k3d.get_2d() ); in rdwr()
1418 start = fabrik_t::get_fab( k3d.get_2d() ); in rdwr()
1420 ziel = fabrik_t::get_fab( k3d.get_2d() ); in rdwr()
1495 fab1 = fabrik_t::get_fab( k3d.get_2d() ); in rdwr()
1497 fab2 = fabrik_t::get_fab( k3d.get_2d() ); in rdwr()
H A Dai_passenger.cc1443 ziel = fabrik_t::get_fab(k3d.get_2d() );
/dports/games/simutrans/simutrans-121.0/script/
H A Dapi_param.cc379 fabrik_t* fab = fabrik_t::get_fab(pos); in get()
/dports/games/simutrans/simutrans-121.0/vehicle/
H A Dsimvehicle.cc856 fabrik_t *fab = fabrik_t::get_fab( k ); in remove_stale_cargo()